From 42c2e00f7fd401966c02b447b2e0c1adb6421b37 Mon Sep 17 00:00:00 2001 From: ashutosh321607 Date: Thu, 28 Nov 2019 03:37:53 +0530 Subject: [PATCH] Processor Design Sources file and xdc with .bit file added --- end_game/end_game.cache/wt/gui_resources.wdf | 39 + .../wt/java_command_handlers.wdf | 21 + end_game/end_game.cache/wt/project.wpc | 4 + end_game/end_game.cache/wt/synthesis.wdf | 39 + .../end_game.cache/wt/synthesis_details.wdf | 3 + end_game/end_game.cache/wt/webtalk_pa.xml | 86 +++ end_game/end_game.cache/wt/xsim.wdf | 4 + end_game/end_game.hw/end_game.lpr | 8 + end_game/end_game.hw/hw_1/hw.xml | 16 + .../end_game.hw/webtalk/_xsim_webtallk.info | 5 + .../end_game.hw/webtalk/labtool_webtalk.log | 8 + .../webtalk/usage_statistics_ext_labtool.html | 45 ++ .../webtalk/usage_statistics_ext_labtool.xml | 39 + end_game/end_game.ip_user_files/README.txt | 1 + end_game/end_game.runs/.jobs/vrs_config_1.xml | 5 + end_game/end_game.runs/.jobs/vrs_config_2.xml | 8 + end_game/end_game.runs/.jobs/vrs_config_3.xml | 8 + end_game/end_game.runs/.jobs/vrs_config_4.xml | 8 + end_game/end_game.runs/.jobs/vrs_config_5.xml | 8 + end_game/end_game.runs/.jobs/vrs_config_6.xml | 8 + end_game/end_game.runs/.jobs/vrs_config_7.xml | 8 + end_game/end_game.runs/.jobs/vrs_config_8.xml | 8 + end_game/end_game.runs/fib.bit | Bin 0 -> 2192112 bytes end_game/end_game.runs/impl_1/ISEWrap.js | 244 ++++++ end_game/end_game.runs/impl_1/ISEWrap.sh | 63 ++ .../impl_1/_Vivado_Implementation.queue.rst | 0 .../impl_1/_init_design.begin.rst | 5 + .../end_game.runs/impl_1/_init_design.end.rst | 0 .../impl_1/_opt_design.begin.rst | 5 + .../end_game.runs/impl_1/_opt_design.end.rst | 0 .../impl_1/_place_design.begin.rst | 5 + .../impl_1/_place_design.end.rst | 0 .../impl_1/_route_design.begin.rst | 5 + .../impl_1/_route_design.end.rst | 0 .../end_game.runs/impl_1/_vivado.begin.rst | 5 + end_game/end_game.runs/impl_1/_vivado.end.rst | 0 .../impl_1/_write_bitstream.begin.rst | 5 + .../impl_1/_write_bitstream.end.rst | 0 end_game/end_game.runs/impl_1/gen_run.xml | 158 ++++ end_game/end_game.runs/impl_1/htr.txt | 9 + end_game/end_game.runs/impl_1/init_design.pb | Bin 0 -> 1583 bytes end_game/end_game.runs/impl_1/main.bit | Bin 0 -> 2192112 bytes end_game/end_game.runs/impl_1/main.tcl | 149 ++++ end_game/end_game.runs/impl_1/main.vdi | 406 ++++++++++ .../impl_1/main_clock_utilization_routed.rpt | 193 +++++ .../impl_1/main_control_sets_placed.rpt | 79 ++ .../end_game.runs/impl_1/main_drc_opted.rpt | 49 ++ .../end_game.runs/impl_1/main_drc_routed.pb | Bin 0 -> 37 bytes .../end_game.runs/impl_1/main_drc_routed.rpt | 49 ++ .../end_game.runs/impl_1/main_drc_routed.rpx | Bin 0 -> 1626 bytes .../end_game.runs/impl_1/main_io_placed.rpt | 280 +++++++ .../impl_1/main_methodology_drc_routed.rpt | 655 +++++++++++++++++ .../impl_1/main_methodology_drc_routed.rpx | Bin 0 -> 32733 bytes end_game/end_game.runs/impl_1/main_opt.dcp | Bin 0 -> 175625 bytes end_game/end_game.runs/impl_1/main_placed.dcp | Bin 0 -> 210047 bytes .../impl_1/main_power_routed.rpt | 148 ++++ .../impl_1/main_power_routed.rpx | Bin 0 -> 101030 bytes .../impl_1/main_power_summary_routed.pb | Bin 0 -> 722 bytes .../end_game.runs/impl_1/main_route_status.pb | Bin 0 -> 44 bytes .../impl_1/main_route_status.rpt | 11 + end_game/end_game.runs/impl_1/main_routed.dcp | Bin 0 -> 236756 bytes .../impl_1/main_timing_summary_routed.rpt | 175 +++++ .../impl_1/main_timing_summary_routed.rpx | Bin 0 -> 18531 bytes .../impl_1/main_utilization_placed.pb | Bin 0 -> 276 bytes .../impl_1/main_utilization_placed.rpt | 209 ++++++ end_game/end_game.runs/impl_1/opt_design.pb | Bin 0 -> 7079 bytes end_game/end_game.runs/impl_1/place_design.pb | Bin 0 -> 11605 bytes end_game/end_game.runs/impl_1/project.wdf | 31 + end_game/end_game.runs/impl_1/route_design.pb | Bin 0 -> 9872 bytes end_game/end_game.runs/impl_1/rundef.js | 40 + end_game/end_game.runs/impl_1/runme.bat | 10 + end_game/end_game.runs/impl_1/runme.log | 405 ++++++++++ end_game/end_game.runs/impl_1/runme.sh | 47 ++ .../impl_1/usage_statistics_webtalk.html | 694 ++++++++++++++++++ .../impl_1/usage_statistics_webtalk.xml | 623 ++++++++++++++++ end_game/end_game.runs/impl_1/vivado.jou | 12 + end_game/end_game.runs/impl_1/vivado.pb | Bin 0 -> 149 bytes .../end_game.runs/impl_1/write_bitstream.pb | Bin 0 -> 3414 bytes .../synth_1/.Xil/main_propImpl.xdc | 61 ++ end_game/end_game.runs/synth_1/ISEWrap.js | 244 ++++++ end_game/end_game.runs/synth_1/ISEWrap.sh | 63 ++ .../synth_1/_Vivado_Synthesis.queue.rst | 0 .../end_game.runs/synth_1/_vivado.begin.rst | 5 + .../end_game.runs/synth_1/_vivado.end.rst | 0 .../end_game.runs/synth_1/fsm_encoding.os | 5 + end_game/end_game.runs/synth_1/gen_run.xml | 98 +++ end_game/end_game.runs/synth_1/htr.txt | 9 + end_game/end_game.runs/synth_1/main.dcp | Bin 0 -> 71107 bytes end_game/end_game.runs/synth_1/main.tcl | 46 ++ end_game/end_game.runs/synth_1/main.vds | 640 ++++++++++++++++ .../synth_1/main_utilization_synth.pb | Bin 0 -> 276 bytes .../synth_1/main_utilization_synth.rpt | 182 +++++ end_game/end_game.runs/synth_1/rundef.js | 36 + end_game/end_game.runs/synth_1/runme.bat | 10 + end_game/end_game.runs/synth_1/runme.log | 639 ++++++++++++++++ end_game/end_game.runs/synth_1/runme.sh | 43 ++ end_game/end_game.runs/synth_1/vivado.jou | 12 + end_game/end_game.runs/synth_1/vivado.pb | Bin 0 -> 94913 bytes end_game/end_game.sim/sim_1/behav/compile.bat | 11 + end_game/end_game.sim/sim_1/behav/compile.log | 30 + .../end_game.sim/sim_1/behav/elaborate.bat | 9 + .../end_game.sim/sim_1/behav/elaborate.log | 35 + end_game/end_game.sim/sim_1/behav/glbl.v | 71 ++ .../end_game.sim/sim_1/behav/main_simu.tcl | 11 + .../sim_1/behav/main_simu_behav.wdb | Bin 0 -> 36357 bytes .../sim_1/behav/main_simu_vlog.prj | 17 + .../end_game.sim/sim_1/behav/simulate.bat | 9 + .../end_game.sim/sim_1/behav/simulate.log | 2 + end_game/end_game.sim/sim_1/behav/webtalk.jou | 12 + end_game/end_game.sim/sim_1/behav/webtalk.log | 13 + .../sim_1/behav/webtalk_9084.backup.jou | 12 + .../sim_1/behav/webtalk_9084.backup.log | 13 + end_game/end_game.sim/sim_1/behav/xelab.pb | Bin 0 -> 6144 bytes .../main_simu_behav/Compile_Options.txt | 1 + .../main_simu_behav/TempBreakPointFile.txt | 1 + .../webtalk/_xsim_webtallk.info | 5 + .../webtalk/usage_statistics_ext_xsim.html | 53 ++ .../webtalk/usage_statistics_ext_xsim.xml | 44 ++ .../behav/xsim.dir/main_simu_behav/xsim.dbg | Bin 0 -> 21356 bytes .../behav/xsim.dir/main_simu_behav/xsim.mem | Bin 0 -> 5761 bytes .../behav/xsim.dir/main_simu_behav/xsim.reloc | Bin 0 -> 3679 bytes .../behav/xsim.dir/main_simu_behav/xsim.rtti | Bin 0 -> 251 bytes .../xsim.dir/main_simu_behav/xsim.svtype | Bin 0 -> 62 bytes .../behav/xsim.dir/main_simu_behav/xsim.type | Bin 0 -> 24 bytes .../behav/xsim.dir/main_simu_behav/xsim.xdbg | Bin 0 -> 5912 bytes .../xsim.dir/main_simu_behav/xsimSettings.ini | 26 + .../xsim.dir/main_simu_behav/xsimcrash.log | 65 ++ .../behav/xsim.dir/main_simu_behav/xsimk.exe | Bin 0 -> 102645 bytes .../xsim.dir/main_simu_behav/xsimkernel.log | 7 + .../behav/xsim.dir/xil_defaultlib/alu.sdb | Bin 0 -> 2129 bytes .../behav/xsim.dir/xil_defaultlib/clk@div.sdb | Bin 0 -> 1437 bytes .../behav/xsim.dir/xil_defaultlib/control.sdb | Bin 0 -> 9759 bytes .../behav/xsim.dir/xil_defaultlib/cpu.sdb | Bin 0 -> 2537 bytes .../behav/xsim.dir/xil_defaultlib/glbl.sdb | Bin 0 -> 3821 bytes .../behav/xsim.dir/xil_defaultlib/main.sdb | Bin 0 -> 2209 bytes .../xsim.dir/xil_defaultlib/main_simu.sdb | Bin 0 -> 2172 bytes .../behav/xsim.dir/xil_defaultlib/pc.sdb | Bin 0 -> 1243 bytes .../behav/xsim.dir/xil_defaultlib/regfile.sdb | Bin 0 -> 2113 bytes .../xsim.dir/xil_defaultlib/seven_seg.sdb | Bin 0 -> 3702 bytes .../behav/xsim.dir/xil_defaultlib/sram.sdb | Bin 0 -> 1673 bytes .../xil_defaultlib/xil_defaultlib.rlx | 15 + .../sim_1/behav/xsim.dir/xsim.svtype | Bin 0 -> 8 bytes end_game/end_game.sim/sim_1/behav/xsim.ini | 1 + end_game/end_game.sim/sim_1/behav/xvlog.log | 30 + end_game/end_game.sim/sim_1/behav/xvlog.pb | Bin 0 -> 5379 bytes .../constrs_1/new/my_constraint.xdc | 72 ++ end_game/end_game.srcs/sim_1/new/cpusim.v | 76 ++ end_game/end_game.srcs/sim_1/new/main_tb.v | 51 ++ end_game/end_game.srcs/sources_1/new/alu.v | 26 + .../end_game.srcs/sources_1/new/clock_div.v | 17 + .../end_game.srcs/sources_1/new/control.v | 213 ++++++ end_game/end_game.srcs/sources_1/new/cpu.v | 21 + end_game/end_game.srcs/sources_1/new/main.v | 46 ++ end_game/end_game.srcs/sources_1/new/pc.v | 16 + end_game/end_game.srcs/sources_1/new/reg.v | 30 + .../end_game.srcs/sources_1/new/seven_seg.v | 243 ++++++ end_game/end_game.srcs/sources_1/new/sram.v | 56 ++ end_game/end_game.xpr | 210 ++++++ 158 files changed, 8811 insertions(+) create mode 100644 end_game/end_game.cache/wt/gui_resources.wdf create mode 100644 end_game/end_game.cache/wt/java_command_handlers.wdf create mode 100644 end_game/end_game.cache/wt/project.wpc create mode 100644 end_game/end_game.cache/wt/synthesis.wdf create mode 100644 end_game/end_game.cache/wt/synthesis_details.wdf create mode 100644 end_game/end_game.cache/wt/webtalk_pa.xml create mode 100644 end_game/end_game.cache/wt/xsim.wdf create mode 100644 end_game/end_game.hw/end_game.lpr create mode 100644 end_game/end_game.hw/hw_1/hw.xml create mode 100644 end_game/end_game.hw/webtalk/_xsim_webtallk.info create mode 100644 end_game/end_game.hw/webtalk/labtool_webtalk.log create mode 100644 end_game/end_game.hw/webtalk/usage_statistics_ext_labtool.html create mode 100644 end_game/end_game.hw/webtalk/usage_statistics_ext_labtool.xml create mode 100644 end_game/end_game.ip_user_files/README.txt create mode 100644 end_game/end_game.runs/.jobs/vrs_config_1.xml create mode 100644 end_game/end_game.runs/.jobs/vrs_config_2.xml create mode 100644 end_game/end_game.runs/.jobs/vrs_config_3.xml create mode 100644 end_game/end_game.runs/.jobs/vrs_config_4.xml create mode 100644 end_game/end_game.runs/.jobs/vrs_config_5.xml create mode 100644 end_game/end_game.runs/.jobs/vrs_config_6.xml create mode 100644 end_game/end_game.runs/.jobs/vrs_config_7.xml create mode 100644 end_game/end_game.runs/.jobs/vrs_config_8.xml create mode 100644 end_game/end_game.runs/fib.bit create mode 100644 end_game/end_game.runs/impl_1/ISEWrap.js create mode 100644 end_game/end_game.runs/impl_1/ISEWrap.sh create mode 100644 end_game/end_game.runs/impl_1/_Vivado_Implementation.queue.rst create mode 100644 end_game/end_game.runs/impl_1/_init_design.begin.rst create mode 100644 end_game/end_game.runs/impl_1/_init_design.end.rst create mode 100644 end_game/end_game.runs/impl_1/_opt_design.begin.rst create mode 100644 end_game/end_game.runs/impl_1/_opt_design.end.rst create mode 100644 end_game/end_game.runs/impl_1/_place_design.begin.rst create mode 100644 end_game/end_game.runs/impl_1/_place_design.end.rst create mode 100644 end_game/end_game.runs/impl_1/_route_design.begin.rst create mode 100644 end_game/end_game.runs/impl_1/_route_design.end.rst create mode 100644 end_game/end_game.runs/impl_1/_vivado.begin.rst create mode 100644 end_game/end_game.runs/impl_1/_vivado.end.rst create mode 100644 end_game/end_game.runs/impl_1/_write_bitstream.begin.rst create mode 100644 end_game/end_game.runs/impl_1/_write_bitstream.end.rst create mode 100644 end_game/end_game.runs/impl_1/gen_run.xml create mode 100644 end_game/end_game.runs/impl_1/htr.txt create mode 100644 end_game/end_game.runs/impl_1/init_design.pb create mode 100644 end_game/end_game.runs/impl_1/main.bit create mode 100644 end_game/end_game.runs/impl_1/main.tcl create mode 100644 end_game/end_game.runs/impl_1/main.vdi create mode 100644 end_game/end_game.runs/impl_1/main_clock_utilization_routed.rpt create mode 100644 end_game/end_game.runs/impl_1/main_control_sets_placed.rpt create mode 100644 end_game/end_game.runs/impl_1/main_drc_opted.rpt create mode 100644 end_game/end_game.runs/impl_1/main_drc_routed.pb create mode 100644 end_game/end_game.runs/impl_1/main_drc_routed.rpt create mode 100644 end_game/end_game.runs/impl_1/main_drc_routed.rpx create mode 100644 end_game/end_game.runs/impl_1/main_io_placed.rpt create mode 100644 end_game/end_game.runs/impl_1/main_methodology_drc_routed.rpt create mode 100644 end_game/end_game.runs/impl_1/main_methodology_drc_routed.rpx create mode 100644 end_game/end_game.runs/impl_1/main_opt.dcp create mode 100644 end_game/end_game.runs/impl_1/main_placed.dcp create mode 100644 end_game/end_game.runs/impl_1/main_power_routed.rpt create mode 100644 end_game/end_game.runs/impl_1/main_power_routed.rpx create mode 100644 end_game/end_game.runs/impl_1/main_power_summary_routed.pb create mode 100644 end_game/end_game.runs/impl_1/main_route_status.pb create mode 100644 end_game/end_game.runs/impl_1/main_route_status.rpt create mode 100644 end_game/end_game.runs/impl_1/main_routed.dcp create mode 100644 end_game/end_game.runs/impl_1/main_timing_summary_routed.rpt create mode 100644 end_game/end_game.runs/impl_1/main_timing_summary_routed.rpx create mode 100644 end_game/end_game.runs/impl_1/main_utilization_placed.pb create mode 100644 end_game/end_game.runs/impl_1/main_utilization_placed.rpt create mode 100644 end_game/end_game.runs/impl_1/opt_design.pb create mode 100644 end_game/end_game.runs/impl_1/place_design.pb create mode 100644 end_game/end_game.runs/impl_1/project.wdf create mode 100644 end_game/end_game.runs/impl_1/route_design.pb create mode 100644 end_game/end_game.runs/impl_1/rundef.js create mode 100644 end_game/end_game.runs/impl_1/runme.bat create mode 100644 end_game/end_game.runs/impl_1/runme.log create mode 100644 end_game/end_game.runs/impl_1/runme.sh create mode 100644 end_game/end_game.runs/impl_1/usage_statistics_webtalk.html create mode 100644 end_game/end_game.runs/impl_1/usage_statistics_webtalk.xml create mode 100644 end_game/end_game.runs/impl_1/vivado.jou create mode 100644 end_game/end_game.runs/impl_1/vivado.pb create mode 100644 end_game/end_game.runs/impl_1/write_bitstream.pb create mode 100644 end_game/end_game.runs/synth_1/.Xil/main_propImpl.xdc create mode 100644 end_game/end_game.runs/synth_1/ISEWrap.js create mode 100644 end_game/end_game.runs/synth_1/ISEWrap.sh create mode 100644 end_game/end_game.runs/synth_1/_Vivado_Synthesis.queue.rst create mode 100644 end_game/end_game.runs/synth_1/_vivado.begin.rst create mode 100644 end_game/end_game.runs/synth_1/_vivado.end.rst create mode 100644 end_game/end_game.runs/synth_1/fsm_encoding.os create mode 100644 end_game/end_game.runs/synth_1/gen_run.xml create mode 100644 end_game/end_game.runs/synth_1/htr.txt create mode 100644 end_game/end_game.runs/synth_1/main.dcp create mode 100644 end_game/end_game.runs/synth_1/main.tcl create mode 100644 end_game/end_game.runs/synth_1/main.vds create mode 100644 end_game/end_game.runs/synth_1/main_utilization_synth.pb create mode 100644 end_game/end_game.runs/synth_1/main_utilization_synth.rpt create mode 100644 end_game/end_game.runs/synth_1/rundef.js create mode 100644 end_game/end_game.runs/synth_1/runme.bat create mode 100644 end_game/end_game.runs/synth_1/runme.log create mode 100644 end_game/end_game.runs/synth_1/runme.sh create mode 100644 end_game/end_game.runs/synth_1/vivado.jou create mode 100644 end_game/end_game.runs/synth_1/vivado.pb create mode 100644 end_game/end_game.sim/sim_1/behav/compile.bat create mode 100644 end_game/end_game.sim/sim_1/behav/compile.log create mode 100644 end_game/end_game.sim/sim_1/behav/elaborate.bat create mode 100644 end_game/end_game.sim/sim_1/behav/elaborate.log create mode 100644 end_game/end_game.sim/sim_1/behav/glbl.v create mode 100644 end_game/end_game.sim/sim_1/behav/main_simu.tcl create mode 100644 end_game/end_game.sim/sim_1/behav/main_simu_behav.wdb create mode 100644 end_game/end_game.sim/sim_1/behav/main_simu_vlog.prj create mode 100644 end_game/end_game.sim/sim_1/behav/simulate.bat create mode 100644 end_game/end_game.sim/sim_1/behav/simulate.log create mode 100644 end_game/end_game.sim/sim_1/behav/webtalk.jou create mode 100644 end_game/end_game.sim/sim_1/behav/webtalk.log create mode 100644 end_game/end_game.sim/sim_1/behav/webtalk_9084.backup.jou create mode 100644 end_game/end_game.sim/sim_1/behav/webtalk_9084.backup.log create mode 100644 end_game/end_game.sim/sim_1/behav/xelab.pb create mode 100644 end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/Compile_Options.txt create mode 100644 end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/TempBreakPointFile.txt create mode 100644 end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/webtalk/_xsim_webtallk.info create mode 100644 end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/webtalk/usage_statistics_ext_xsim.html create mode 100644 end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/webtalk/usage_statistics_ext_xsim.xml create mode 100644 end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/xsim.dbg create mode 100644 end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/xsim.mem create mode 100644 end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/xsim.reloc create mode 100644 end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/xsim.rtti create mode 100644 end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/xsim.svtype create mode 100644 end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/xsim.type create mode 100644 end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/xsim.xdbg create mode 100644 end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/xsimSettings.ini create mode 100644 end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/xsimcrash.log create mode 100644 end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/xsimk.exe create mode 100644 end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/xsimkernel.log create mode 100644 end_game/end_game.sim/sim_1/behav/xsim.dir/xil_defaultlib/alu.sdb create mode 100644 end_game/end_game.sim/sim_1/behav/xsim.dir/xil_defaultlib/clk@div.sdb create mode 100644 end_game/end_game.sim/sim_1/behav/xsim.dir/xil_defaultlib/control.sdb create mode 100644 end_game/end_game.sim/sim_1/behav/xsim.dir/xil_defaultlib/cpu.sdb create mode 100644 end_game/end_game.sim/sim_1/behav/xsim.dir/xil_defaultlib/glbl.sdb create mode 100644 end_game/end_game.sim/sim_1/behav/xsim.dir/xil_defaultlib/main.sdb create mode 100644 end_game/end_game.sim/sim_1/behav/xsim.dir/xil_defaultlib/main_simu.sdb create mode 100644 end_game/end_game.sim/sim_1/behav/xsim.dir/xil_defaultlib/pc.sdb create mode 100644 end_game/end_game.sim/sim_1/behav/xsim.dir/xil_defaultlib/regfile.sdb create mode 100644 end_game/end_game.sim/sim_1/behav/xsim.dir/xil_defaultlib/seven_seg.sdb create mode 100644 end_game/end_game.sim/sim_1/behav/xsim.dir/xil_defaultlib/sram.sdb create mode 100644 end_game/end_game.sim/sim_1/behav/xsim.dir/xil_defaultlib/xil_defaultlib.rlx create mode 100644 end_game/end_game.sim/sim_1/behav/xsim.dir/xsim.svtype create mode 100644 end_game/end_game.sim/sim_1/behav/xsim.ini create mode 100644 end_game/end_game.sim/sim_1/behav/xvlog.log create mode 100644 end_game/end_game.sim/sim_1/behav/xvlog.pb create mode 100644 end_game/end_game.srcs/constrs_1/new/my_constraint.xdc create mode 100644 end_game/end_game.srcs/sim_1/new/cpusim.v create mode 100644 end_game/end_game.srcs/sim_1/new/main_tb.v create mode 100644 end_game/end_game.srcs/sources_1/new/alu.v create mode 100644 end_game/end_game.srcs/sources_1/new/clock_div.v create mode 100644 end_game/end_game.srcs/sources_1/new/control.v create mode 100644 end_game/end_game.srcs/sources_1/new/cpu.v create mode 100644 end_game/end_game.srcs/sources_1/new/main.v create mode 100644 end_game/end_game.srcs/sources_1/new/pc.v create mode 100644 end_game/end_game.srcs/sources_1/new/reg.v create mode 100644 end_game/end_game.srcs/sources_1/new/seven_seg.v create mode 100644 end_game/end_game.srcs/sources_1/new/sram.v create mode 100644 end_game/end_game.xpr diff --git a/end_game/end_game.cache/wt/gui_resources.wdf b/end_game/end_game.cache/wt/gui_resources.wdf new file mode 100644 index 0000000..144feaf --- /dev/null +++ b/end_game/end_game.cache/wt/gui_resources.wdf @@ -0,0 +1,39 @@ +version:1 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:61646473726377697a6172645f737065636966795f6f725f6372656174655f636f6e73747261696e745f66696c6573:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:61646473726377697a6172645f737065636966795f73696d756c6174696f6e5f73706563696669635f68646c5f66696c6573:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:626173656469616c6f675f63616e63656c:3131:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:626173656469616c6f675f6f6b:3139:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:636d646d73676469616c6f675f6f6b:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:636f6e73747261696e747363686f6f73657270616e656c5f6372656174655f66696c65:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:637265617465636f6e73747261696e747366696c6570616e656c5f66696c655f6e616d65:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:66696c6573657470616e656c5f66696c655f7365745f70616e656c5f74726565:313535:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:666c6f776e6176696761746f727472656570616e656c5f666c6f775f6e6176696761746f725f74726565:3836:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:67726170686963616c766965775f7a6f6f6d5f666974:38:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:67726170686963616c766965775f7a6f6f6d5f696e:3332:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:67726170686963616c766965775f7a6f6f6d5f6f7574:3137:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:68636f6465656469746f725f626c616e6b5f6f7065726174696f6e73:3137:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:68636f6465656469746f725f636f6d6d616e64735f746f5f666f6c645f74657874:37:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:68636f6465656469746f725f646966665f77697468:3133:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:6d61696e6d656e756d67725f66696c65:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7061636f6d6d616e646e616d65735f6164645f736f7572636573:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7061636f6d6d616e646e616d65735f6175746f5f636f6e6e6563745f746172676574:38:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7061636f6d6d616e646e616d65735f6175746f5f7570646174655f68696572:33:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7061636f6d6d616e646e616d65735f6f70656e5f70726f6a656374:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7061636f6d6d616e646e616d65735f73696d756c6174696f6e5f72756e5f6265686176696f72616c:3136:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7061636f6d6d616e646e616d65735f746f67676c655f766965775f6e6176:35:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:706176696577735f636f6465:3130:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:706c616e61686561647461625f73686f775f666c6f775f6e6176696761746f72:35:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:70726f6772616d64656275677461625f6f70656e5f726563656e746c795f6f70656e65645f746172676574:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:70726f6772616d64656275677461625f6f70656e5f746172676574:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:70726f6772616d667067616469616c6f675f70726f6772616d:39:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:70726f67726573736469616c6f675f63616e63656c:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:726469636f6d6d616e64735f637574:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:72646976696577735f77617665666f726d5f766965776572:3232:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7361766570726f6a6563747574696c735f73617665:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7372636d656e755f69705f686965726172636879:35:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7374616c6572756e6469616c6f675f6f70656e5f64657369676e:31:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:73796e7468657469636167657474696e6773746172746564766965775f726563656e745f70726f6a65637473:34:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:73796e7468657469636173746174656d6f6e69746f725f63616e63656c:32:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:7461736b62616e6e65725f636c6f7365:38:00:00 +70726f6a656374:76697661646f5f75736167655c6775695f7265736f7572636573:74636c636f6e736f6c65766965775f74636c5f636f6e736f6c655f636f64655f656469746f72:32:00:00 +eof:3015027200 diff --git a/end_game/end_game.cache/wt/java_command_handlers.wdf b/end_game/end_game.cache/wt/java_command_handlers.wdf new file mode 100644 index 0000000..2beac8e --- /dev/null +++ b/end_game/end_game.cache/wt/java_command_handlers.wdf @@ -0,0 +1,21 @@ +version:1 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:616464736f7572636573:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6175746f636f6e6e656374746172676574:38:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:636c6f736570726f6a656374:32:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:65646974756e646f:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6c61756e636870726f6772616d66706761:39:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e68617264776172656d616e61676572:3138:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e70726f6a656374:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:6f70656e726563656e74746172676574:36:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:70726f6772616d646576696365:39:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e62697467656e:3130:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e736368656d61746963:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:72756e73796e746865736973:34:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:7361766566696c6570726f787968616e646c6572:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73686f7776696577:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:73696d756c6174696f6e72756e:3136:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:746f67676c65766965776e6176696761746f72:35:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:766965777461736b696d706c656d656e746174696f6e:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:766965777461736b73796e746865736973:31:00:00 +70726f6a656374:76697661646f5f75736167655c6a6176615f636f6d6d616e645f68616e646c657273:77617665666f726d73617665636f6e66696775726174696f6e:31:00:00 +eof:151233538 diff --git a/end_game/end_game.cache/wt/project.wpc b/end_game/end_game.cache/wt/project.wpc new file mode 100644 index 0000000..bf4eae6 --- /dev/null +++ b/end_game/end_game.cache/wt/project.wpc @@ -0,0 +1,4 @@ +version:1 +57656254616c6b5472616e736d697373696f6e417474656d70746564:4 +6d6f64655f636f756e7465727c4755494d6f6465:6 +eof: diff --git a/end_game/end_game.cache/wt/synthesis.wdf b/end_game/end_game.cache/wt/synthesis.wdf new file mode 100644 index 0000000..3db1a1b --- /dev/null +++ b/end_game/end_game.cache/wt/synthesis.wdf @@ -0,0 +1,39 @@ +version:1 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d70617274:786337613335746370673233362d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e616d65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d746f70:6d61696e:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d696e636c7564655f64697273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67656e65726963:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d766572696c6f675f646566696e65:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e737472736574:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7365755f70726f74656374:64656661756c743a3a6e6f6e65:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d666c617474656e5f686965726172636879:64656661756c743a3a72656275696c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d67617465645f636c6f636b5f636f6e76657273696f6e:64656661756c743a3a6f6666:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d646972656374697665:64656661756c743a3a64656661756c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f6970:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d72746c5f736b69705f636f6e73747261696e7473:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f6c63:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d62756667:64656661756c743a3a3132:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66616e6f75745f6c696d6974:64656661756c743a3a3130303030:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73687265675f6d696e5f73697a65:64656661756c743a3a33:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d6f6465:64656661756c743a3a64656661756c74:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d66736d5f65787472616374696f6e:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6b6565705f6571756976616c656e745f726567697374657273:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d7265736f757263655f73686172696e67:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636173636164655f647370:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d636f6e74726f6c5f7365745f6f70745f7468726573686f6c64:64656661756c743a3a6175746f:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f647370:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f6272616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6d61785f7572616d5f636173636164655f686569676874:64656661756c743a3a2d31:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d726574696d696e67:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f73726c65787472616374:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d617373657274:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d6e6f5f74696d696e675f64726976656e:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73666375:64656661756c743a3a5b6e6f745f7370656369666965645d:00:00 +73796e746865736973:73796e7468657369735c7573616765:656c6170736564:30303a30303a323673:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f7065616b:3737362e3635364d42:00:00 +73796e746865736973:73796e7468657369735c7573616765:6d656d6f72795f6761696e:3438322e3634354d42:00:00 +eof:2154974760 diff --git a/end_game/end_game.cache/wt/synthesis_details.wdf b/end_game/end_game.cache/wt/synthesis_details.wdf new file mode 100644 index 0000000..227096f --- /dev/null +++ b/end_game/end_game.cache/wt/synthesis_details.wdf @@ -0,0 +1,3 @@ +version:1 +73796e746865736973:73796e7468657369735c7573616765:686c735f6970:30:00:00 +eof:2511430288 diff --git a/end_game/end_game.cache/wt/webtalk_pa.xml b/end_game/end_game.cache/wt/webtalk_pa.xml new file mode 100644 index 0000000..13959cc --- /dev/null +++ b/end_game/end_game.cache/wt/webtalk_pa.xml @@ -0,0 +1,86 @@ + + + + +
+ + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
diff --git a/end_game/end_game.cache/wt/xsim.wdf b/end_game/end_game.cache/wt/xsim.wdf new file mode 100644 index 0000000..0f875db --- /dev/null +++ b/end_game/end_game.cache/wt/xsim.wdf @@ -0,0 +1,4 @@ +version:1 +7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f6d6f6465:64656661756c743a3a6265686176696f72616c:00:00 +7873696d:7873696d5c636f6d6d616e645f6c696e655f6f7074696f6e73:2d73696d5f74797065:64656661756c743a3a:00:00 +eof:241934075 diff --git a/end_game/end_game.hw/end_game.lpr b/end_game/end_game.hw/end_game.lpr new file mode 100644 index 0000000..8cc4078 --- /dev/null +++ b/end_game/end_game.hw/end_game.lpr @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/end_game/end_game.hw/hw_1/hw.xml b/end_game/end_game.hw/hw_1/hw.xml new file mode 100644 index 0000000..e21c501 --- /dev/null +++ b/end_game/end_game.hw/hw_1/hw.xml @@ -0,0 +1,16 @@ + + + + + + + + + + + + + + + + diff --git a/end_game/end_game.hw/webtalk/_xsim_webtallk.info b/end_game/end_game.hw/webtalk/_xsim_webtallk.info new file mode 100644 index 0000000..9809513 --- /dev/null +++ b/end_game/end_game.hw/webtalk/_xsim_webtallk.info @@ -0,0 +1,5 @@ +1574444035 +0 +2 +0 +93a5a53b-c035-4f1c-b3f0-43883c241131 diff --git a/end_game/end_game.hw/webtalk/labtool_webtalk.log b/end_game/end_game.hw/webtalk/labtool_webtalk.log new file mode 100644 index 0000000..50fa955 --- /dev/null +++ b/end_game/end_game.hw/webtalk/labtool_webtalk.log @@ -0,0 +1,8 @@ + +****** Webtalk v2017.1 (64-bit) + **** SW Build 1846317 on Fri Apr 14 18:55:03 MDT 2017 + **** IP Build 1846188 on Fri Apr 14 20:52:08 MDT 2017 + ** Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. + +source C:/Users/hp/end_game/end_game.hw/webtalk/labtool_webtalk.tcl -notrace +INFO: [Common 17-206] Exiting Webtalk at Fri Nov 22 23:03:57 2019... diff --git a/end_game/end_game.hw/webtalk/usage_statistics_ext_labtool.html b/end_game/end_game.hw/webtalk/usage_statistics_ext_labtool.html new file mode 100644 index 0000000..4015139 --- /dev/null +++ b/end_game/end_game.hw/webtalk/usage_statistics_ext_labtool.html @@ -0,0 +1,45 @@ +Device Usage Statistics Report +

LABTOOL Usage Report


+ + + + + + + + + + + + + + + + + +
software_version_and_target_device
betaFALSEbuild_version1846317
date_generatedFri Nov 22 23:03:55 2019os_platformWIN64
product_versionVivado v2017.1 (64-bit)project_id93a5a53b-c035-4f1c-b3f0-43883c241131
project_iteration1random_ida20516f5-6568-4922-95cb-fd63966b3ff7
registration_id211458424_1777531420_210673176_760route_designFALSE
target_devicenot_applicabletarget_familynot_applicable
target_packagenot_applicabletarget_speednot_applicable
tool_flowlabtool

+ + + + + + + + +
user_environment
cpu_nameIntel(R) Core(TM) i7-6700 CPU @ 3.40GHzcpu_speed3408 MHz
os_nameMicrosoft Windows 8 or later , 64-bitos_releasemajor release (build 9200)
system_ram17.000 GBtotal_processors1

+ + +
vivado_usage

+ + + +
labtool
+ + + + + +
usage
cable=Digilent/Basys3/15000000:chain=0362D093pgmcnt=01:00:00
+

+ + diff --git a/end_game/end_game.hw/webtalk/usage_statistics_ext_labtool.xml b/end_game/end_game.hw/webtalk/usage_statistics_ext_labtool.xml new file mode 100644 index 0000000..ad7c6a2 --- /dev/null +++ b/end_game/end_game.hw/webtalk/usage_statistics_ext_labtool.xml @@ -0,0 +1,39 @@ + + +
+
+ + + + + + + + + + + + + + + +
+
+ + + + + + +
+
+
+ + + +
+
+
+
+
+
diff --git a/end_game/end_game.ip_user_files/README.txt b/end_game/end_game.ip_user_files/README.txt new file mode 100644 index 0000000..9015e04 --- /dev/null +++ b/end_game/end_game.ip_user_files/README.txt @@ -0,0 +1 @@ +The files in this directory structure are automatically generated and managed by Vivado. Editing these files is not recommended. diff --git a/end_game/end_game.runs/.jobs/vrs_config_1.xml b/end_game/end_game.runs/.jobs/vrs_config_1.xml new file mode 100644 index 0000000..afd5c69 --- /dev/null +++ b/end_game/end_game.runs/.jobs/vrs_config_1.xml @@ -0,0 +1,5 @@ + + + + + diff --git a/end_game/end_game.runs/.jobs/vrs_config_2.xml b/end_game/end_game.runs/.jobs/vrs_config_2.xml new file mode 100644 index 0000000..fb798f2 --- /dev/null +++ b/end_game/end_game.runs/.jobs/vrs_config_2.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/end_game/end_game.runs/.jobs/vrs_config_3.xml b/end_game/end_game.runs/.jobs/vrs_config_3.xml new file mode 100644 index 0000000..fb798f2 --- /dev/null +++ b/end_game/end_game.runs/.jobs/vrs_config_3.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/end_game/end_game.runs/.jobs/vrs_config_4.xml b/end_game/end_game.runs/.jobs/vrs_config_4.xml new file mode 100644 index 0000000..fb798f2 --- /dev/null +++ b/end_game/end_game.runs/.jobs/vrs_config_4.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/end_game/end_game.runs/.jobs/vrs_config_5.xml b/end_game/end_game.runs/.jobs/vrs_config_5.xml new file mode 100644 index 0000000..fb798f2 --- /dev/null +++ b/end_game/end_game.runs/.jobs/vrs_config_5.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/end_game/end_game.runs/.jobs/vrs_config_6.xml b/end_game/end_game.runs/.jobs/vrs_config_6.xml new file mode 100644 index 0000000..fb798f2 --- /dev/null +++ b/end_game/end_game.runs/.jobs/vrs_config_6.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/end_game/end_game.runs/.jobs/vrs_config_7.xml b/end_game/end_game.runs/.jobs/vrs_config_7.xml new file mode 100644 index 0000000..fb798f2 --- /dev/null +++ b/end_game/end_game.runs/.jobs/vrs_config_7.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/end_game/end_game.runs/.jobs/vrs_config_8.xml b/end_game/end_game.runs/.jobs/vrs_config_8.xml new file mode 100644 index 0000000..fb798f2 --- /dev/null +++ b/end_game/end_game.runs/.jobs/vrs_config_8.xml @@ -0,0 +1,8 @@ + + + + + + + + diff --git a/end_game/end_game.runs/fib.bit b/end_game/end_game.runs/fib.bit new file mode 100644 index 0000000000000000000000000000000000000000..a7920ccae6bc8a2e4f24447024c26622b7806316 GIT binary patch literal 2192112 zcmeI*3!G$WK^Xe4YN~sxo7tJ_*?I2s?6@w&iqOlTcmdfn>$vV3Bm?d&TrOsZr=W-^ z#O3$P4SQxBxRVWX7bQfmKd+M&VKd%9NF*BZ;-cWq&2M5rqv$mYM2He^#EH>(B}m=x z)T67qx~i+HyI$S>Kf7Ju`QG38e{;^M$2nDDVc*sIe<2iJ9&Y=-m%r`}&pGp^SO4I> zr@ndlC3iQL=iLA5AAHm6zW)v1{EX#0p7pdl!Yjg_XTAKyH@x|kZ~X3OocPA@%J8I^ z@jpEMjys}Q?`uMW5T;5({)mJq^6mX3u}&0?2-;>>H3n7CX_ z3NeUbsD~k|g|)o;`tE$a7B8NymnkHLVz~1^E*Bne#IwS#SA6ovmh0sg>UHzHQein< zis4)g8)bKeTAgN_y~5>?)Z4DNS*W*}hSTMjzARV>5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0^32L9Lkf~j7nuP z{pf`N0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5(bO@YExhCTQHO{H6%64;qK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FpT3 zAnRLVqy^@8kF+_B5g@Qr1=7iG_@Vj9_NO-j1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5;&*%l~GczA~vC)}a# z2oNAZfB*pk1PJsHDECMoSMvOm#?`WR2@oJafB*pk1PBlyK!5-N0t5&UAV7csfk6Zo z+up06^ydVFjBh8FS=`&&>a?}Go!9D{IrzqoyL{ccZ9KaksVDV)Y^&3^tO5iG5FkKc z`wL{XO{_l-vbEzbUmru;cy7Kr$gpCWwmJjVC=66ep)LY@{$ZEI(IjP~X+|pq2oMNs zZaG?b29;S8*z@u+ji@-LcDG-%Yxa}5pB>vqW@Bqv!vqM-nm{t?0quJ{OuWxYZ=?PQ zY*yfgf4A8d`qr=XEujE`85dYQxH7f+<>bKLE3r++t#hgP;G zU0JizE->*c)fV=LR-bD=8EzlihSyu|Z@7tRS4<{4fH)^{Y4w@q(UC97$XnDP0RjXF z5ZGb@r@~x1(IFqbAvF4o{T9*~8Tm5tZ5r_w91F#4qAwcboV5BZUW$>CFRk`R-n0e@ z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+!0-Zv9#wj!_bA>sxsdiPqCi8S*h8XMdXM6LlXuRXjQU@5U)l{9SbWQdtB$AQ z)}b5E_V0{Vx7;jWdv!ZbOtz&r>e`>)(!)3SEhCV%k95l&yY{}IefTr5KI$iyGq6d9 z&`V(JOm^vi?6nPBEr2W;k%42ZydP zaMK$txY-eHw*E%P-LKxj|DlnOWqJ+PkL<0_ zdb`IbH@*$_f6e*H4ROGpTBZY5XfKx4BFRcp_xMWo*jF~v|8@JuKCBINkZhoiDg+1+ zAV6Rg0%-{GCV^8S{y!JjL(h23+sKlpu~z=KW;q$3U(KyaO?!=JP07xLgTLCDGWB@V zG>s$4{{~-*m%_0S|1V}C6l1mS@xj|$UGh@=chT5`u*}pE!^y{84l9^HoO;mkj++xKwV(K z=fdSz0wX|x009C72oNAZfB*pk1PBlyux$jAg%59=ZLuK)2oNAZU`q+4V;=3HcaFJ( zEzo>2V`H4VX2u4z4TqBrxlKo&K4b;OhZabNtWo~@AuDXd;+wrjxi3k#`?S}obfY<0 zN2hyM);)P-sq_Eq$eNmshU(v$)q5=c@_X0ZSWdIQW;j4A+xeQibb#%j#Ui^tFpHxy zCISS;D$sWQXFAr725h`Jo@O{ewSC_)K%wnaWC)v)ZT67gaCyVGjI3u35g0W0T$W z%VTSL)*2q-j>72<{lJT++rQok5FkLHT_9hK6N!=1U&|rosbnS z7vH*tR(DjsWp!MPz>W|Y^3KX5$&OI5kpu`3AV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7dXKY`XSZnV}Kb&`H{rssB`T0^5Uqbk?F zY(@uWSOf?VAh4wb_C9U4hMUgTaEyik0RjXD6mUKn5K1uu1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyKww~jH8(^KTywaB^Y;!{UTFfeB(Ua&$T1D> z&^=>nShEBO5FkK+0D+MRq$6o%bd5B}(+mf1`G(I7URFs0!wICr6(4))=6IUn;2qje z2Deg@009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7cDBHc&zR`; zmnPcVCObYe*yzAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyKw!%W zT>p%rhFlt|w88`k5FkK+z|;hubYiHk%R`k`m;eC+1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t8kG-1~u5QIW9-6w+aut?V79w6X+dOJG-z z;q?6ppuPp#8!m=JkF>|F6WAUCeSZR|Z-G?~*`c3a6&E2ufB*pk1PBlqlRzaKQ^Ol< zw(|!3&iDqKRgC}v0tCh^;CwP>V9gUCK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pkGa<0|)iW`Swm}rrTI6lbq(;0M-2vg=Uz@d!JeP!37eB`M4qFyi|32gP`~Ah% zy@ai`8K2|B?q{6w8QO-!Yu!uOTAT6Bw4coQ1R35;2$cTKs$r~p#ezMjw6MGOn}oO{ zltY#@avNdRi0+JF?=3U9o%sRkQv1v9owS#Puy6J2li8V|_Gw60hLtqVC&^06c3hdg z?~2OLAf>h!ukEw59cN(8HqGMqLEhRiDQgD3%@g+i?yPNK?L5+cGRX(n8K1R5k90gY zeR8DDjij+8g!upJWhYI_-cPUI_8EwEeD)hhv?E?9w#lDpTc3&5`q_Oa?H8TwmED~w zQ;#Qc1nql9{=BO_KJ@{PeE{di-oua`EQaN_C&IPLJ8MS5O>Y{KZ0yAsm!DY<#kp2L zrMQN9%smfj zjcpujm6t8H zG*jt_Z}Vv7n%UdHa~*S%?XWqSy%{kg0t5&U*op!#U7Xsei}klp%6V9rPwHX3lBjFE z)W~mzQycf#JKl3}>|ONi;A}1Dw({95z8PPxh1vN%w}rNNi1B)UE3D`5>DjD|SreGG z_llb1X@-5his9f(`W8}vz~%&I?L*_{c$#7Vt;-I+xPKufCM$5w-|}oNn>AW9<`dqr#|kn4Pe*xV{5v9!>jBY#p`Lc$9U|@ z>Z!>>J&Z@T9kARu_4|IahH_RrTIG>qEhTGQKh$mF8dbJwaMS-jQyZ3_;a8TO`cc;2 znfa`{wx8rXN%?%1PfNVet~opTO4jz*Oy8#AoLn8AYfpRAm2XdpCy+||#{5ZdQahLA@sP&Yf&4K>>NAtC z<}W7k^M z`V*~UNE%u3+ld-4Na{H;xe;Fp*EX{CQ?C8xscl2H(sTVH`uH-ww#z&c%D2QlCf{3X z@w`|mN#bL32Wf_R&Nf{3{=l?0DvKS*zbuPid2=ogKew30_`5EI@OUl#@p6oR{9Rdm zZk&Zutz5Hw9)@kZ-1wBKZ(5R%pqL+&;@`LAkt99|o6Gl#y9)8W$Ft!;E$?ie70Wck zT68-;-1Mp`ZdE)ql_Grp7+2GfgyhjN;kDtWk$!?@B&hIQu>4(*wziPUq!HdAaXdjFn<&8NEO?oCwuT{5Sd$Y0;d_ z-oF8JVoqjHpvQf|aj%JU@sVn!7UtvJ{`<)^XG7lvW>BE(+uKL?&)`T6 zi2wlt1h$;O-mjajA#d}`ggUXiZtlXhoyEkbEpW}0?{!F80BfEXR$6)12uxMrrn{%w zeS7Df`$_9qo3$6SPGIr^m2aASpFMi4?I)c(OOLYKI{BvAdEV7JR&8r>8@Ck&cD;GJ zqfUBvUtY<}dKcP`Is3NK0o)6g?f6g7HW45|fB=CVBj9=0j+ts3x(NaM$tLi|RMnh} z3ARtO>s`V%mw!`fW0~!j$+i2nn?s#O*!CrFRt$KKdifDM!mfUnjQW5)&{orm{yuK0t5(*RUjR*^+x%l$q@A#bq206 zZ25s3o=(Ad`)k*wSNclfz6DlF)>al?zB(?pjzG3f-Uzb}ecK2d+vZIb((W7B_mxxM z0;}7-`L@+@F#_9KARBV)8s&#N$8WHYAxEY-0Rja23hdq0x5(rQxH@%m)_NLBztlQ?EbeKthnu~C2O{DZOWP=oe8@-69$Q2`>sJsDMMfu z1U7&FW4gi3*uQ^+6?b5@&Ck+cX!Fk>tejE=2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t9xpKr)`qZ{E?^3dDsdo<#+%7 z%Bq!d31p3i(*Zj;Ibb=(2oNAJaslU)k&|kW009C72oNAZfB*pk1PBlyK!5-N0t5&Q zEszXdBd>7SZ_;eYEreu)_4-#k^hEzMN)Xr@0)t#%oNZ`R3SYCKYAOvTkPTLBb*aOD zxjHU3DuGfcg>*xW?f%k+s;Sglps*?{Srr>7Qu*5h6;o&f0$Hq+{|&oTl3{BoKd?Y< zJIGUk&IWJkOb{QfK!a*9GuPwUL|!@E6Kkg%X<>OImDCwnAZhIz*?^UH ze`vshiVZK23|}R$vHKGntEW~^fwmto&(hWB_|`ttvv$lV|IvE6@-{BeHZ?;wa`^X# ztf2T%0&_!^t{1Lsd^$JK+m5PIE|Mz+{~vJtgG>XeQRQmR{--Jj`}Ds2=38+i$z z_q+SbD0DHdK z%?p$d9#{&lAL)B32 zSEhUZ;m>wYm%3Sj>W1fJvpuWdOTe7;l95iJO`tO078z!B_os&`tt2WA7GoHfe^7f|_u=GEuA41$!vgP5l32I+kKdmMY53x~JPd_2e_Vg@ z9_0EyBgq}^9sA1C0Q=HN#ty}9OkoC#$m0jwU(KS6i{99eq zC3X`?yCwEYOL}GWO)ogd_cf{)82&1h+DU%E*4vBC-`5_qJ|clMKL5?13CATq#OaI) z?aR6oeE9bEqSi+v(D*<-jZ?9ZCHd(jpP5r3%W79mBAw`D85Cn``Vv^+=b;!>{U+C}{)&@dm9N zzfzlxG-SJK=a9yPDR^37hXuyp687hZviO8J ze^T6M*}b8=yZSULI|}THCy)Fn^^$NrhG|+yXlk?=#}J+ zR4NDKV|mbj{*`adD_O=MkWWD|-e($aDTj+;v3AI+KMn5lWccvA#xNR9)~2I)TUH6z zo(tjO+KFVn!__LW!?C<&90G;7H!S7%V^>NiLpUA}WbqY!pFN~Lj>9*PV?5f7v+$u% zx=`AG-D2go{QR&h%;)d2^nKD5i|*USZ#)9UJ?}ZTaP6tNM=reN@@qqSVC4*7%I9Xi zrEE^tq_Y^>icb%#IKEGZndz@2x-7SrNm)hDO%)c!zqSL1sg`_9Q9j(Q~8s|!@C-=EJ( zc=2Cf`H?J!53EeZl|J96a^!`1JN_XjGWyYIF&8)) zDo65{i1F`84AS@)-xwZbDd(>*En^V)fso~M5ndkNUfGp@x!Qm;5`UA%dn;p@k9w15 z&Ro76*RF)+aQVcE_)g2xU|m%PdIx5(wv=iYs8(xpQpo3|@N;?2pmTEgKee}GeRKk6 zE}wXAZ9fV5ev-F4=$zP3MmGzqTQVoBJ!T=6f9{(<=_;l%jQ25?^LJEYeDT}UT3BAp z!%`AcJC=oqIub-jByd{@S)7r-cP_~rETp%Ee5LsJ>i0Q@(1^by&+S-T-_-#!sc2H0 zqi(%b27cDut+uq@0&8H`B=o9#^JBeoq;F0j?W~@3CafvZC~(+yE1gv0J3}B_y_v^W zM>}HW@9anr9hpGw3!l@a{CeShDW&n9$WrsHk|udt*QNZ^U6UnlTA=o7eCbj-Etb#6 z#+jQ_NMBdtDhi zmz%!QnIb+Sfuzw+e&i|@lMpXkN%J{6oyDD|m}YUcwxo@4C78c%W!1`P1=84Dn*W!@ zm82N=iFkQ^Av`IcqgBVM7+*;$NtU;K7ccbCnl9w?rVFAyu0Lh|@sA$}5nYpML&kQ@o6Thm74`K`d+mcuu6 zOO-YXfq2-uCGHXz^4l73dG}q(i;DBLW|On!W4EOF)3EN#Vc&t%9cDzSX}Azus)V-{ z!>Mq*SUy>dXOX#ho6y00M!L_+p?@}_&Nb61P>R<^#G_OGyf@lcidiLor|rco7JF^6 z{$wn#(Pdf|YFD}BnU>KCq_MeE^(OQ0EXeJ%_+O}$=i^kB*Ll@=_~Z3z9>*Y%#sgKF z#qV1Q7xEn=%U?s5Z;y$^QrhgU%k$ntZ*FD^M=MY&77ygRM)~}u<4H&=*Sz)>%jM#I z;aDlz6KAQ^ySIvCS8trn<&?7!o^rbI$gS}ZRyvv7bs@Vud~NaMk>f82$6xTPvF-XT z^J_b)+_pAN$|wX5ES|sb)Kflr@~_@^>GijM{N*41oB#Qbe`xXjpSkyWPkY15p8AGA zj{8ZkIXV2@qv&57YXwd%KK#u6Px<81kH7D8Z%7XQzu)xz|M}0pdd-vXKl$vZzTpF3 z_l944Ybnl2oS3<_H5Wd=HciSX1&+nWp8x!PXC9b46YtboT8iFZY z#p}0ArRtdzajAwUmX2M%dbLzqZ%Qh0_pyvqAkN8^XFY3Qb55Sf=jd6_dNAIP(rYm% zy<|p}9*{Ln+y2Ya_~PI}vHGUxREi}Ah35R#9@H&-g*-sFyj zJgnX47+=N57mHoqSIPT4@`s!3S@qrm_tdhM>jmO8)aIbFT))q;ceTpi-g$C1BT&w+ zuO2&g<(H~q-+XCAD^>%8*sFSyccP7XVEZ63dC3%4iNUw-njE0ugsE}w~yui1Cv z*b`?izv)dUPUKI*x>l+s?*4<`d2%)-5WiF{?k8z}>^gHfKK{hMEAfeN+)v`1RI4ZA z{lGmIbJ7E5iplqWV2YjVn!v0GB$W0uIDusS;$_!Ct`9W%+;rhzOukb+ z5}1-e(&)Dt*4|sm8i~y?tgJLLVzBq)t#xaY5hxVW*ir8fNh(jB%s6&#FXjB5$NY++ zI0^9rmRn;yiLoSJikF97mLvaHmqbaU5lCV)`Coo9WL%1c{2>X&JQCvPD7DmDWYxow z9`E6=DkQQ=fh5ZtNNfMs4qE&3-wldO693Zpw`wWBa#d7h)B;ITYcWY)p2T0Ct6dFQ z&dT||QfYj!Qtz=|thRpBN9#!*M=nsmX|eX0)EYk-?{19uKIA9&c+{%@zjiMPVgCIi zABzUt1(M_W*&&`q;trEsO3F$6$)HDLN{qD^YmeRZ#Z@^X;}M8E#mSYYzlGRGS}8QY zrYr62^606~6!9?#WN|-;`%CTr_;9gUjQ7hYo%>3owwFjtmK>P;t zIyfWA%0iZg5HBCfmn^FX@qAuit3^8=7Jq9cA%2c;8KFR0Z>zEtFONv$v*Gw9UNI$& zUvGOd|8Cn-CCR^=@Z`z%(@L$%?mwy5^f*p|H0~iGxg`|OCi!fHi?unrP${NoW4Gz0 zm>qvEC0=k;TaNtC_0Z$U1@fa+IsQ)hk&vHFD)%Je_{sd~)&5ecl$7$zR*vTdZe4rX z@FOE1iw0`~Y0IIM#PdQH{|lw8Qe1pIhF_ECX0_RhE9diAo?lybe_LZkFgAg>!^|fk z{4Z(9_Akt(rLYipoJ;x6lZK-)qd7CpSy{L?rdh@+5NF{)DCJ!g!pQ?+E)A#Rev`(% zC2jla!F-Og_!q*$x7Pv>qZi2PO(ro&&L{B~H_qn?N&GJ?UOE<^9G5Q@ix*>J^AMKL zO1|7w4?K=sAkFuTxQC?2%0C?Uiek8M{OymQek`uP_u=@Z&$kuhN#qboy?cgY&jGb=HFeZ-H1|Z4Z*$GEsOE2olU}l_zI=8>z+$Ti^tOCaQxZH ztyz337S5hu%75%3O+vYp#Li=>+H&MyHC6;;5-7y8cpA;)OOnUeZkCe)b`Qfec zqH)P@m5*g6T8$RVrH~X}S19c{6+ZRc?3PeC^7iNMFFh72r+((h@@E~25q(k_JzeWaZWCWhd)@GlfSGM z!>+mC&ccbgYo2)G^y&Ddta#;0{8s3&GAEZ>wXKaqAfJju8aZbWxm**Y~`$`8tTP^LG zi*s`0jivC!6Y7C_m%^#HRL<|u!lPc;l+5$jAkj;stge{Bo_?eev<)V*CvL#EE_T!l_eVsaF3YRI5p)8jiL7mXqJ4 z8Tll1G`JLQ-1EUN=f8DQ-B&JGFMc`9UCrlY@dXdYIazGXN&Z_~E6e=Knw7B#)9yPD5Q+)tMO;PS6uxwAGK?Z>W=ALA?&5Lo>7G+zD??`KS6XN9)#_Lv^yF}1!V z_grbN3dbw({^LpQvy=Db-NesOT46msPp&QH@FUGt;iLo_ACs(H648t|`mcm1CN&cM z^cH9xxMG>g(XWq>N$=8fMlaC3M5Qxq^psZq{pkDD;^qVvJ|63@+I2S9p4vSH;%Otv z4@vR=EEHp``4Mk3Y}P#bYt1#`*aXs$=55Dg)yhv^=hxr0mZX;1j2}7JToaB@AiugR z9*ojb8Xxb9_chjHg<`zID9vA-#1A3cI(JV{8^$2;ATDX`F+ZJ@^OX2VnoIfDn`^=`31mrDd$yZ}tky$}6+?D0c{rX*vMi=OT1;9`jAM~Pem8_= z`~pj7<3;pG9*uV}=9dNUnXmPj#1Dnf)z%uP`uaHjPCf8APJtv#&MzH^2dUEX`O2=O zSSsGKD;~Y(_s2b?lJ73@tkPPJ{@d2NwQ&ku*psEjsq`ws2HF9ro}YgfPGI0NikVW=8G>3@qVHBaZ204tMdM_4n*Ut z1?H3DH{G)k_li3z;m6+*4`t!V!QJPQ`24tSN2&Zzt1F3(OW;E%!%Hrnj4#|PAHTJH zd{4SB+)^&Y>$~F4Q;OeERC{Vx@4K*jTw~B~r$9VH#b?3s(Diso;zeF@7Am#-;`8Um zwiUlMpB`o~?w_ z=jQ+Yi+=Oe&m1T}_s1?iT>i}ih4Q-(#qW~3w6|>tA8cEv! zCx5s4OVw}svycAuouB>a({4EQzNfdwu#mOZt&LZpe*NjvQoO72Sn=U_*W8Ixy!+=w zSXzpA*0r5a{NC?)=b^pz<=W-iOLJl0Pmb@y2KU%83*CoK&mVw9U!UZyWz8^w2Ia7mr=V;@!Vd`Q(-A z!JjJJu`hh&BbP77myIjS!rxq3wK7(LdoLWh>y}b-=u|lJqNEh|p9+=zNhyipk^Qmb z{I9VbeP44;I9`Fbq*=V5G2C4V*^&4)Yw<;W9>%x7Vi)KF0apL;RSFgt-A>X|zq{I3rjJaeUbap`Kj zH*0C>(aUj8s^M}eznQLWIciSYG{(Am=blr$PR|u?yfK93JI|bmFH9Z_)$7C6E2q=g zZ`*#daQ9fpq4Ac$nLQ8w*VCnt&&k#5cYbH#j^gEN_4+f_%jxM>p?uApto9HiK!5;& zjR{;o`28oz#(GeTz}6RdQhs6gU`zaH-?AxzWU$)hR}WTBsSydBitXg@rL6NWyz+_> z^`)5}0`2(uJty@kSv4tb*W2ZdEIrVpDaqpzn6JG99Nz_wS9bMSD&N;5Lo$KwFObDe z%#Tv_s~qD`IJGu8X|2Y!qd&4LDl$%i_EXC`{TzAKI+?OYDUj86lPp}U?I5eJq+i|5 zkvmq$#l|c!SG!s}UWuBvU5(n&=j%J-q9Yba+S@B9`A$;XQQFeWZR;^R{+yGA009C7 z2oNAZfB*pk1PBlyK!5-N0vi;ly#X2D6JAVX12HU~>}=@BKkZBrAFse|_2#qpC-qMp z&*fj=)xMM$eycrdodAJu0@r<_TWUvI(h(OOr$D;!FUHxtwl^S9x%Q0%)Ee-5ive0v zBno8lzx=VjT+F}xZq;(+r&dKpCLmDi(?|Jop8~Q+Ef8OmWO6^W(2>be>CUnJ;J+u{L3W=DEEYHo9MWe8S_#s}lA8IUSE4lefUQaT zYu(yp1lpz}Y4q3(Ytix-8>xZ`3tYVY*kULhf9zs75Wk=Pc+%>A>1SH&)+Q-Xyzjnn zd+}`{Kb;&e720;5rN2MPap3rrpFo_G{JC)P;kW+K;_>_D!ozQTa|~DghL-QL9Up(! zmh=52&dIKPPKqyj?6G`K!s+8}ziYPeHC-!89J|1oGx0ly!$Z|@=BC$VA;fQiZZ4Jj ziKZD9PE4RaC)H{-&PnXFeNLQDCN>MJJ34d!{m%`BYW1u4=W|l{YAd($wXJn)lN4y~ zCxzOawC^Vi-#p22=qnef)UMe+8TS8T9!uia*Tge@aVbD-)!tsOwuF;7Jj?^ zn+PY_v%Y!>?B5l0(q6d(rY8fIQ)~c%Vp#Y`Ax#G;smR0xvg`9}xRXux=lQ{g0OL1x zc3qDAUi^-)_@8A80!{X2*cif*+V|WgQy9?B?XvwV?Yb!}HGg-mW3IZDl{OZE)xRE} zck%BU{bY?$Fm-|J@7}-=(hby6g}@98tQ@S8#vq$vBf9XtjTOPf1@doA?Y3O|fo`dN z($YTpvWF2^`!wv+jq1rT%_uugfrUS8w4RQ$7i|;R+5$&^x7V3wYj2m)6Ckk71)f`b ztG?IU#@l@3XLs}B&pfpK(!=bI)5r)AAkZ%0*wwBv{q>_?DC8f{ zHsHRiZAn(AEl{n-`MBEln~l>Rkp6=?nYn)ij$N}hB}YG<)PA$Exb~BkSsUt(8PCG+ z<-ge&U&wnUzhmayh7uq^fB*pk1a^i%Ijmd<*<*tj54B~aZR=LYDX{Kc4CODZ={l*U z)F0PmN*KSu;_kLSvbJ@rLkSG?Hb}n@MsEJ+Yr3xQSL^OwYwAcCSfD<8={n`FdF48p zqs_`j+uUrm*6+OCG1_E3jKgeEj{oe7a zym4!7&$bzD+Ivf!PkP&oC#M`v^?89=xnVp#Yqgg^Rtd%Oy6;?-f3{a$>0=Ve_mXVA zwkxk%FIV2^1qxY`H(GxyvU~GqyC+K}u(Jf#|N7uc`)9v93uando8H&w6Z!HdrZu#k z+V5h%;iuP|mh!LdRGVpS_rq~NIexml&TEwN2d6c*o!al>efKSv*WFJl-QNb=sbCY> z?&QA5-?;pE;jZ3Yd41B`W;{9hoP_6^lkwMx?0^f0?YGpQx?|QoJ#jgjMk|kivR%v1PBlyK!5-N0t5&UAh2Tul73ry;0ODa z7(sqEf;KckU;+ZYF1y=xY52~2wKaT8YIGAg`h`a7x>pr-R;K{#S5M{L??EC1tBaum9CkbS|Hn#XX9XS)(M?3o5nbD-z zW^drW-=1yt>SLRvk9)gU+MoN|Ys6Oa*5pVSMxgI~i;c$Clw0^`!*tV8HtmRakFM#n zd$DbkI@tEEbBAk|RZ+~d! zHS2c9o9WH4nV*ELnY3=$D!*>Ana^x)N56qK%QeH!^7Zt>ihHwMGYoTS=vo|dO{#_Oe8kNxsnCF^U$ zmhY@T1MzgoG4w0nnZFX>)BE>+SIUI$OTYZiTEmv_tUvvD=d}ynN7TA7t9$v*{LXl| zY5$swyVjKH=(=BiNAb4yyN|K6{7lEQfkv0Cl8I*%BK9obnco=?2am;WYHviQv5}Df zHRHX;&@VqWv1%E%{Hn?`6)9c{$NCTGSSV)o7kJ&vcjkA-!-21j-PGH-6rXRMk6kw7 zvE8m?=$GF)>S4=w))yy0fB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV6Sb0?Q#3ZunSuVG>)+|CU2mh{0mp`mTK4-|QGP=4h@yM@eDV wNAr1mJkHx(VL4oCgrV^HfAZF!ZO&Vx&Na=raCYX?T$l_02K*b?3jYTFf5OS(HUIzs literal 0 HcmV?d00001 diff --git a/end_game/end_game.runs/impl_1/ISEWrap.js b/end_game/end_game.runs/impl_1/ISEWrap.js new file mode 100644 index 0000000..8284d2d --- /dev/null +++ b/end_game/end_game.runs/impl_1/ISEWrap.js @@ -0,0 +1,244 @@ +// +// Vivado(TM) +// ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6 +// Copyright 1986-1999, 2001-2013,2015 Xilinx, Inc. All Rights Reserved. +// + +// GLOBAL VARIABLES +var ISEShell = new ActiveXObject( "WScript.Shell" ); +var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); +var ISERunDir = ""; +var ISELogFile = "runme.log"; +var ISELogFileStr = null; +var ISELogEcho = true; +var ISEOldVersionWSH = false; + + + +// BOOTSTRAP +ISEInit(); + + + +// +// ISE FUNCTIONS +// +function ISEInit() { + + // 1. RUN DIR setup + var ISEScrFP = WScript.ScriptFullName; + var ISEScrN = WScript.ScriptName; + ISERunDir = + ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); + + // 2. LOG file setup + ISELogFileStr = ISEOpenFile( ISELogFile ); + + // 3. LOG echo? + var ISEScriptArgs = WScript.Arguments; + for ( var loopi=0; loopi> " + ISELogFile + " 2>&1"; + ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); + ISELogFileStr = ISEOpenFile( ISELogFile ); + + } else { // WSH 5.6 + + // LAUNCH! + ISEShell.CurrentDirectory = ISERunDir; + + // Redirect STDERR to STDOUT + ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; + var ISEProcess = ISEShell.Exec( ISECmdLine ); + + // BEGIN file creation + var ISENetwork = WScript.CreateObject( "WScript.Network" ); + var ISEHost = ISENetwork.ComputerName; + var ISEUser = ISENetwork.UserName; + var ISEPid = ISEProcess.ProcessID; + var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); + ISEBeginFile.WriteLine( "" ); + ISEBeginFile.WriteLine( "" ); + ISEBeginFile.WriteLine( " " ); + ISEBeginFile.WriteLine( " " ); + ISEBeginFile.WriteLine( "" ); + ISEBeginFile.Close(); + + var ISEOutStr = ISEProcess.StdOut; + var ISEErrStr = ISEProcess.StdErr; + + // WAIT for ISEStep to finish + while ( ISEProcess.Status == 0 ) { + + // dump stdout then stderr - feels a little arbitrary + while ( !ISEOutStr.AtEndOfStream ) { + ISEStdOut( ISEOutStr.ReadLine() ); + } + + WScript.Sleep( 100 ); + } + + ISEExitCode = ISEProcess.ExitCode; + } + + ISELogFileStr.Close(); + + // END/ERROR file creation + if ( ISEExitCode != 0 ) { + ISETouchFile( ISEStep, "error" ); + + } else { + ISETouchFile( ISEStep, "end" ); + } + + return ISEExitCode; +} + + +// +// UTILITIES +// +function ISEStdOut( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdOut.WriteLine( ISELine ); + } +} + +function ISEStdErr( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdErr.WriteLine( ISELine ); + } +} + +function ISETouchFile( ISERoot, ISEStatus ) { + + var ISETFile = + ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); + ISETFile.Close(); +} + +function ISEOpenFile( ISEFilename ) { + + // This function has been updated to deal with a problem seen in CR #870871. + // In that case the user runs a script that runs impl_1, and then turns around + // and runs impl_1 -to_step write_bitstream. That second run takes place in + // the same directory, which means we may hit some of the same files, and in + // particular, we will open the runme.log file. Even though this script closes + // the file (now), we see cases where a subsequent attempt to open the file + // fails. Perhaps the OS is slow to release the lock, or the disk comes into + // play? In any case, we try to work around this by first waiting if the file + // is already there for an arbitrary 5 seconds. Then we use a try-catch block + // and try to open the file 10 times with a one second delay after each attempt. + // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. + // If there is an unrecognized exception when trying to open the file, we output + // an error message and write details to an exception.log file. + var ISEFullPath = ISERunDir + "/" + ISEFilename; + if (ISEFileSys.FileExists(ISEFullPath)) { + // File is already there. This could be a problem. Wait in case it is still in use. + WScript.Sleep(5000); + } + var i; + for (i = 0; i < 10; ++i) { + try { + return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); + } catch (exception) { + var error_code = exception.number & 0xFFFF; // The other bits are a facility code. + if (error_code == 52) { // 52 is bad file name or number. + // Wait a second and try again. + WScript.Sleep(1000); + continue; + } else { + WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + var exceptionFilePath = ISERunDir + "/exception.log"; + if (!ISEFileSys.FileExists(exceptionFilePath)) { + WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); + var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); + exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + exceptionFile.WriteLine("\tException name: " + exception.name); + exceptionFile.WriteLine("\tException error code: " + error_code); + exceptionFile.WriteLine("\tException message: " + exception.message); + exceptionFile.Close(); + } + throw exception; + } + } + } + // If we reached this point, we failed to open the file after 10 attempts. + // We need to error out. + WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); + WScript.Quit(1); +} diff --git a/end_game/end_game.runs/impl_1/ISEWrap.sh b/end_game/end_game.runs/impl_1/ISEWrap.sh new file mode 100644 index 0000000..e1a8f5d --- /dev/null +++ b/end_game/end_game.runs/impl_1/ISEWrap.sh @@ -0,0 +1,63 @@ +#!/bin/sh + +# +# Vivado(TM) +# ISEWrap.sh: Vivado Runs Script for UNIX +# Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. +# + +HD_LOG=$1 +shift + +# CHECK for a STOP FILE +if [ -f .stop.rst ] +then +echo "" >> $HD_LOG +echo "*** Halting run - EA reset detected ***" >> $HD_LOG +echo "" >> $HD_LOG +exit 1 +fi + +ISE_STEP=$1 +shift + +# WRITE STEP HEADER to LOG +echo "" >> $HD_LOG +echo "*** Running $ISE_STEP" >> $HD_LOG +echo " with args $@" >> $HD_LOG +echo "" >> $HD_LOG + +# LAUNCH! +$ISE_STEP "$@" >> $HD_LOG 2>&1 & + +# BEGIN file creation +ISE_PID=$! +if [ X != X$HOSTNAME ] +then +ISE_HOST=$HOSTNAME #bash +else +ISE_HOST=$HOST #csh +fi +ISE_USER=$USER +ISE_BEGINFILE=.$ISE_STEP.begin.rst +/bin/touch $ISE_BEGINFILE +echo "" >> $ISE_BEGINFILE +echo "" >> $ISE_BEGINFILE +echo " " >> $ISE_BEGINFILE +echo " " >> $ISE_BEGINFILE +echo "" >> $ISE_BEGINFILE + +# WAIT for ISEStep to finish +wait $ISE_PID + +# END/ERROR file creation +RETVAL=$? +if [ $RETVAL -eq 0 ] +then + /bin/touch .$ISE_STEP.end.rst +else + /bin/touch .$ISE_STEP.error.rst +fi + +exit $RETVAL + diff --git a/end_game/end_game.runs/impl_1/_Vivado_Implementation.queue.rst b/end_game/end_game.runs/impl_1/_Vivado_Implementation.queue.rst new file mode 100644 index 0000000..e69de29 diff --git a/end_game/end_game.runs/impl_1/_init_design.begin.rst b/end_game/end_game.runs/impl_1/_init_design.begin.rst new file mode 100644 index 0000000..acb8c6a --- /dev/null +++ b/end_game/end_game.runs/impl_1/_init_design.begin.rst @@ -0,0 +1,5 @@ + + + + + diff --git a/end_game/end_game.runs/impl_1/_init_design.end.rst b/end_game/end_game.runs/impl_1/_init_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/end_game/end_game.runs/impl_1/_opt_design.begin.rst b/end_game/end_game.runs/impl_1/_opt_design.begin.rst new file mode 100644 index 0000000..acb8c6a --- /dev/null +++ b/end_game/end_game.runs/impl_1/_opt_design.begin.rst @@ -0,0 +1,5 @@ + + + + + diff --git a/end_game/end_game.runs/impl_1/_opt_design.end.rst b/end_game/end_game.runs/impl_1/_opt_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/end_game/end_game.runs/impl_1/_place_design.begin.rst b/end_game/end_game.runs/impl_1/_place_design.begin.rst new file mode 100644 index 0000000..acb8c6a --- /dev/null +++ b/end_game/end_game.runs/impl_1/_place_design.begin.rst @@ -0,0 +1,5 @@ + + + + + diff --git a/end_game/end_game.runs/impl_1/_place_design.end.rst b/end_game/end_game.runs/impl_1/_place_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/end_game/end_game.runs/impl_1/_route_design.begin.rst b/end_game/end_game.runs/impl_1/_route_design.begin.rst new file mode 100644 index 0000000..acb8c6a --- /dev/null +++ b/end_game/end_game.runs/impl_1/_route_design.begin.rst @@ -0,0 +1,5 @@ + + + + + diff --git a/end_game/end_game.runs/impl_1/_route_design.end.rst b/end_game/end_game.runs/impl_1/_route_design.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/end_game/end_game.runs/impl_1/_vivado.begin.rst b/end_game/end_game.runs/impl_1/_vivado.begin.rst new file mode 100644 index 0000000..619a2ca --- /dev/null +++ b/end_game/end_game.runs/impl_1/_vivado.begin.rst @@ -0,0 +1,5 @@ + + + + + diff --git a/end_game/end_game.runs/impl_1/_vivado.end.rst b/end_game/end_game.runs/impl_1/_vivado.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/end_game/end_game.runs/impl_1/_write_bitstream.begin.rst b/end_game/end_game.runs/impl_1/_write_bitstream.begin.rst new file mode 100644 index 0000000..acb8c6a --- /dev/null +++ b/end_game/end_game.runs/impl_1/_write_bitstream.begin.rst @@ -0,0 +1,5 @@ + + + + + diff --git a/end_game/end_game.runs/impl_1/_write_bitstream.end.rst b/end_game/end_game.runs/impl_1/_write_bitstream.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/end_game/end_game.runs/impl_1/gen_run.xml b/end_game/end_game.runs/impl_1/gen_run.xml new file mode 100644 index 0000000..d8ecf85 --- /dev/null +++ b/end_game/end_game.runs/impl_1/gen_run.xml @@ -0,0 +1,158 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/end_game/end_game.runs/impl_1/htr.txt b/end_game/end_game.runs/impl_1/htr.txt new file mode 100644 index 0000000..85f912a --- /dev/null +++ b/end_game/end_game.runs/impl_1/htr.txt @@ -0,0 +1,9 @@ +REM +REM Vivado(TM) +REM htr.txt: a Vivado-generated description of how-to-repeat the +REM the basic steps of a run. Note that runme.bat/sh needs +REM to be invoked for Vivado to track run status. +REM Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +REM + +vivado -log main.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source main.tcl -notrace diff --git a/end_game/end_game.runs/impl_1/init_design.pb b/end_game/end_game.runs/impl_1/init_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..19e7f917e314f87d0617e7f8d20332944ebf149d GIT binary patch literal 1583 zcmcgsO>Y`85Dg(fwE>#ctXr!u56tzhdQB}y=b->B4cV(LZ zIrP*Y&}*;#rL~ujk^(ta;$X(!_vX#K8PEpXheXgegH%ADT;XmYDQiO+LBTyiWCIoi z$ggastCn3{jRVZ~It2UZC0G?vmwQCCOq3ga*%%+1Sre7i7oDYerN8nswUl25*Wk?} zDlcL}^80Mnf7nKE9$>3Zvt5DG9>c-lPOB*hc+RMxAtV6_36la|MI3UX^ms`C_44sG>v8U%F=?RawA>oN2Z`cy%&N5%#cv9w%5c^r-A;j)G9gY2=#4T+E|u;z_g# zvKRCg`_U}EzC#t$Hs-Z3W0tD6JI#Fo);~qq*AW*4(QxuqUK+hD!#+1RQ{ zFK#2NUYZA-MGCoMO(TBg5Hjdpo>0FP)u;MaoqmN?n8-Qr_EaeY_PK%h&of6yqO3&KUY6PF3|TWuVY z$-&U0P@WjD%t)^s4qC$irc4@r-^-_F>+7VNs=mrEx{Q-S_?-f;kErrvM>X!DG@bvQ zrgqvS&93T6H_1`?in3e6CE+__SMLTD36v9HOqq%piJe`im{yHK^R2uf+{{{L$+YfS z{5#m1KTuz~VTk#l0fsI&%_*0=pl}k+OnHxR0_AutiEn_}CTagDsA>QFSOy;o;*5p_ zDtQg)#a;MLi+-yX2#$s7Tk_X{$0UsS0PY;`t7kF6H{*A0<%{GD@@r}!>rOGN_CXji zv<037l-;z34s5{LjL-}4s8VU@U;V*bd(Q21kgGY4VWS0?$f+A{ZQg3jns!MgstU2z UUmNbWrvSWXKK!h<7Web1KlT&O+W-In literal 0 HcmV?d00001 diff --git a/end_game/end_game.runs/impl_1/main.bit b/end_game/end_game.runs/impl_1/main.bit new file mode 100644 index 0000000000000000000000000000000000000000..a7920ccae6bc8a2e4f24447024c26622b7806316 GIT binary patch literal 2192112 zcmeI*3!G$WK^Xe4YN~sxo7tJ_*?I2s?6@w&iqOlTcmdfn>$vV3Bm?d&TrOsZr=W-^ z#O3$P4SQxBxRVWX7bQfmKd+M&VKd%9NF*BZ;-cWq&2M5rqv$mYM2He^#EH>(B}m=x z)T67qx~i+HyI$S>Kf7Ju`QG38e{;^M$2nDDVc*sIe<2iJ9&Y=-m%r`}&pGp^SO4I> zr@ndlC3iQL=iLA5AAHm6zW)v1{EX#0p7pdl!Yjg_XTAKyH@x|kZ~X3OocPA@%J8I^ z@jpEMjys}Q?`uMW5T;5({)mJq^6mX3u}&0?2-;>>H3n7CX_ z3NeUbsD~k|g|)o;`tE$a7B8NymnkHLVz~1^E*Bne#IwS#SA6ovmh0sg>UHzHQein< zis4)g8)bKeTAgN_y~5>?)Z4DNS*W*}hSTMjzARV>5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0^32L9Lkf~j7nuP z{pf`N0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5(bO@YExhCTQHO{H6%64;qK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FpT3 zAnRLVqy^@8kF+_B5g@Qr1=7iG_@Vj9_NO-j1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5;&*%l~GczA~vC)}a# z2oNAZfB*pk1PJsHDECMoSMvOm#?`WR2@oJafB*pk1PBlyK!5-N0t5&UAV7csfk6Zo z+up06^ydVFjBh8FS=`&&>a?}Go!9D{IrzqoyL{ccZ9KaksVDV)Y^&3^tO5iG5FkKc z`wL{XO{_l-vbEzbUmru;cy7Kr$gpCWwmJjVC=66ep)LY@{$ZEI(IjP~X+|pq2oMNs zZaG?b29;S8*z@u+ji@-LcDG-%Yxa}5pB>vqW@Bqv!vqM-nm{t?0quJ{OuWxYZ=?PQ zY*yfgf4A8d`qr=XEujE`85dYQxH7f+<>bKLE3r++t#hgP;G zU0JizE->*c)fV=LR-bD=8EzlihSyu|Z@7tRS4<{4fH)^{Y4w@q(UC97$XnDP0RjXF z5ZGb@r@~x1(IFqbAvF4o{T9*~8Tm5tZ5r_w91F#4qAwcboV5BZUW$>CFRk`R-n0e@ z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+!0-Zv9#wj!_bA>sxsdiPqCi8S*h8XMdXM6LlXuRXjQU@5U)l{9SbWQdtB$AQ z)}b5E_V0{Vx7;jWdv!ZbOtz&r>e`>)(!)3SEhCV%k95l&yY{}IefTr5KI$iyGq6d9 z&`V(JOm^vi?6nPBEr2W;k%42ZydP zaMK$txY-eHw*E%P-LKxj|DlnOWqJ+PkL<0_ zdb`IbH@*$_f6e*H4ROGpTBZY5XfKx4BFRcp_xMWo*jF~v|8@JuKCBINkZhoiDg+1+ zAV6Rg0%-{GCV^8S{y!JjL(h23+sKlpu~z=KW;q$3U(KyaO?!=JP07xLgTLCDGWB@V zG>s$4{{~-*m%_0S|1V}C6l1mS@xj|$UGh@=chT5`u*}pE!^y{84l9^HoO;mkj++xKwV(K z=fdSz0wX|x009C72oNAZfB*pk1PBlyux$jAg%59=ZLuK)2oNAZU`q+4V;=3HcaFJ( zEzo>2V`H4VX2u4z4TqBrxlKo&K4b;OhZabNtWo~@AuDXd;+wrjxi3k#`?S}obfY<0 zN2hyM);)P-sq_Eq$eNmshU(v$)q5=c@_X0ZSWdIQW;j4A+xeQibb#%j#Ui^tFpHxy zCISS;D$sWQXFAr725h`Jo@O{ewSC_)K%wnaWC)v)ZT67gaCyVGjI3u35g0W0T$W z%VTSL)*2q-j>72<{lJT++rQok5FkLHT_9hK6N!=1U&|rosbnS z7vH*tR(DjsWp!MPz>W|Y^3KX5$&OI5kpu`3AV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7dXKY`XSZnV}Kb&`H{rssB`T0^5Uqbk?F zY(@uWSOf?VAh4wb_C9U4hMUgTaEyik0RjXD6mUKn5K1uu1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyKww~jH8(^KTywaB^Y;!{UTFfeB(Ua&$T1D> z&^=>nShEBO5FkK+0D+MRq$6o%bd5B}(+mf1`G(I7URFs0!wICr6(4))=6IUn;2qje z2Deg@009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7cDBHc&zR`; zmnPcVCObYe*yzAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyKw!%W zT>p%rhFlt|w88`k5FkK+z|;hubYiHk%R`k`m;eC+1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t8kG-1~u5QIW9-6w+aut?V79w6X+dOJG-z z;q?6ppuPp#8!m=JkF>|F6WAUCeSZR|Z-G?~*`c3a6&E2ufB*pk1PBlqlRzaKQ^Ol< zw(|!3&iDqKRgC}v0tCh^;CwP>V9gUCK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pkGa<0|)iW`Swm}rrTI6lbq(;0M-2vg=Uz@d!JeP!37eB`M4qFyi|32gP`~Ah% zy@ai`8K2|B?q{6w8QO-!Yu!uOTAT6Bw4coQ1R35;2$cTKs$r~p#ezMjw6MGOn}oO{ zltY#@avNdRi0+JF?=3U9o%sRkQv1v9owS#Puy6J2li8V|_Gw60hLtqVC&^06c3hdg z?~2OLAf>h!ukEw59cN(8HqGMqLEhRiDQgD3%@g+i?yPNK?L5+cGRX(n8K1R5k90gY zeR8DDjij+8g!upJWhYI_-cPUI_8EwEeD)hhv?E?9w#lDpTc3&5`q_Oa?H8TwmED~w zQ;#Qc1nql9{=BO_KJ@{PeE{di-oua`EQaN_C&IPLJ8MS5O>Y{KZ0yAsm!DY<#kp2L zrMQN9%smfj zjcpujm6t8H zG*jt_Z}Vv7n%UdHa~*S%?XWqSy%{kg0t5&U*op!#U7Xsei}klp%6V9rPwHX3lBjFE z)W~mzQycf#JKl3}>|ONi;A}1Dw({95z8PPxh1vN%w}rNNi1B)UE3D`5>DjD|SreGG z_llb1X@-5his9f(`W8}vz~%&I?L*_{c$#7Vt;-I+xPKufCM$5w-|}oNn>AW9<`dqr#|kn4Pe*xV{5v9!>jBY#p`Lc$9U|@ z>Z!>>J&Z@T9kARu_4|IahH_RrTIG>qEhTGQKh$mF8dbJwaMS-jQyZ3_;a8TO`cc;2 znfa`{wx8rXN%?%1PfNVet~opTO4jz*Oy8#AoLn8AYfpRAm2XdpCy+||#{5ZdQahLA@sP&Yf&4K>>NAtC z<}W7k^M z`V*~UNE%u3+ld-4Na{H;xe;Fp*EX{CQ?C8xscl2H(sTVH`uH-ww#z&c%D2QlCf{3X z@w`|mN#bL32Wf_R&Nf{3{=l?0DvKS*zbuPid2=ogKew30_`5EI@OUl#@p6oR{9Rdm zZk&Zutz5Hw9)@kZ-1wBKZ(5R%pqL+&;@`LAkt99|o6Gl#y9)8W$Ft!;E$?ie70Wck zT68-;-1Mp`ZdE)ql_Grp7+2GfgyhjN;kDtWk$!?@B&hIQu>4(*wziPUq!HdAaXdjFn<&8NEO?oCwuT{5Sd$Y0;d_ z-oF8JVoqjHpvQf|aj%JU@sVn!7UtvJ{`<)^XG7lvW>BE(+uKL?&)`T6 zi2wlt1h$;O-mjajA#d}`ggUXiZtlXhoyEkbEpW}0?{!F80BfEXR$6)12uxMrrn{%w zeS7Df`$_9qo3$6SPGIr^m2aASpFMi4?I)c(OOLYKI{BvAdEV7JR&8r>8@Ck&cD;GJ zqfUBvUtY<}dKcP`Is3NK0o)6g?f6g7HW45|fB=CVBj9=0j+ts3x(NaM$tLi|RMnh} z3ARtO>s`V%mw!`fW0~!j$+i2nn?s#O*!CrFRt$KKdifDM!mfUnjQW5)&{orm{yuK0t5(*RUjR*^+x%l$q@A#bq206 zZ25s3o=(Ad`)k*wSNclfz6DlF)>al?zB(?pjzG3f-Uzb}ecK2d+vZIb((W7B_mxxM z0;}7-`L@+@F#_9KARBV)8s&#N$8WHYAxEY-0Rja23hdq0x5(rQxH@%m)_NLBztlQ?EbeKthnu~C2O{DZOWP=oe8@-69$Q2`>sJsDMMfu z1U7&FW4gi3*uQ^+6?b5@&Ck+cX!Fk>tejE=2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t9xpKr)`qZ{E?^3dDsdo<#+%7 z%Bq!d31p3i(*Zj;Ibb=(2oNAJaslU)k&|kW009C72oNAZfB*pk1PBlyK!5-N0t5&Q zEszXdBd>7SZ_;eYEreu)_4-#k^hEzMN)Xr@0)t#%oNZ`R3SYCKYAOvTkPTLBb*aOD zxjHU3DuGfcg>*xW?f%k+s;Sglps*?{Srr>7Qu*5h6;o&f0$Hq+{|&oTl3{BoKd?Y< zJIGUk&IWJkOb{QfK!a*9GuPwUL|!@E6Kkg%X<>OImDCwnAZhIz*?^UH ze`vshiVZK23|}R$vHKGntEW~^fwmto&(hWB_|`ttvv$lV|IvE6@-{BeHZ?;wa`^X# ztf2T%0&_!^t{1Lsd^$JK+m5PIE|Mz+{~vJtgG>XeQRQmR{--Jj`}Ds2=38+i$z z_q+SbD0DHdK z%?p$d9#{&lAL)B32 zSEhUZ;m>wYm%3Sj>W1fJvpuWdOTe7;l95iJO`tO078z!B_os&`tt2WA7GoHfe^7f|_u=GEuA41$!vgP5l32I+kKdmMY53x~JPd_2e_Vg@ z9_0EyBgq}^9sA1C0Q=HN#ty}9OkoC#$m0jwU(KS6i{99eq zC3X`?yCwEYOL}GWO)ogd_cf{)82&1h+DU%E*4vBC-`5_qJ|clMKL5?13CATq#OaI) z?aR6oeE9bEqSi+v(D*<-jZ?9ZCHd(jpP5r3%W79mBAw`D85Cn``Vv^+=b;!>{U+C}{)&@dm9N zzfzlxG-SJK=a9yPDR^37hXuyp687hZviO8J ze^T6M*}b8=yZSULI|}THCy)Fn^^$NrhG|+yXlk?=#}J+ zR4NDKV|mbj{*`adD_O=MkWWD|-e($aDTj+;v3AI+KMn5lWccvA#xNR9)~2I)TUH6z zo(tjO+KFVn!__LW!?C<&90G;7H!S7%V^>NiLpUA}WbqY!pFN~Lj>9*PV?5f7v+$u% zx=`AG-D2go{QR&h%;)d2^nKD5i|*USZ#)9UJ?}ZTaP6tNM=reN@@qqSVC4*7%I9Xi zrEE^tq_Y^>icb%#IKEGZndz@2x-7SrNm)hDO%)c!zqSL1sg`_9Q9j(Q~8s|!@C-=EJ( zc=2Cf`H?J!53EeZl|J96a^!`1JN_XjGWyYIF&8)) zDo65{i1F`84AS@)-xwZbDd(>*En^V)fso~M5ndkNUfGp@x!Qm;5`UA%dn;p@k9w15 z&Ro76*RF)+aQVcE_)g2xU|m%PdIx5(wv=iYs8(xpQpo3|@N;?2pmTEgKee}GeRKk6 zE}wXAZ9fV5ev-F4=$zP3MmGzqTQVoBJ!T=6f9{(<=_;l%jQ25?^LJEYeDT}UT3BAp z!%`AcJC=oqIub-jByd{@S)7r-cP_~rETp%Ee5LsJ>i0Q@(1^by&+S-T-_-#!sc2H0 zqi(%b27cDut+uq@0&8H`B=o9#^JBeoq;F0j?W~@3CafvZC~(+yE1gv0J3}B_y_v^W zM>}HW@9anr9hpGw3!l@a{CeShDW&n9$WrsHk|udt*QNZ^U6UnlTA=o7eCbj-Etb#6 z#+jQ_NMBdtDhi zmz%!QnIb+Sfuzw+e&i|@lMpXkN%J{6oyDD|m}YUcwxo@4C78c%W!1`P1=84Dn*W!@ zm82N=iFkQ^Av`IcqgBVM7+*;$NtU;K7ccbCnl9w?rVFAyu0Lh|@sA$}5nYpML&kQ@o6Thm74`K`d+mcuu6 zOO-YXfq2-uCGHXz^4l73dG}q(i;DBLW|On!W4EOF)3EN#Vc&t%9cDzSX}Azus)V-{ z!>Mq*SUy>dXOX#ho6y00M!L_+p?@}_&Nb61P>R<^#G_OGyf@lcidiLor|rco7JF^6 z{$wn#(Pdf|YFD}BnU>KCq_MeE^(OQ0EXeJ%_+O}$=i^kB*Ll@=_~Z3z9>*Y%#sgKF z#qV1Q7xEn=%U?s5Z;y$^QrhgU%k$ntZ*FD^M=MY&77ygRM)~}u<4H&=*Sz)>%jM#I z;aDlz6KAQ^ySIvCS8trn<&?7!o^rbI$gS}ZRyvv7bs@Vud~NaMk>f82$6xTPvF-XT z^J_b)+_pAN$|wX5ES|sb)Kflr@~_@^>GijM{N*41oB#Qbe`xXjpSkyWPkY15p8AGA zj{8ZkIXV2@qv&57YXwd%KK#u6Px<81kH7D8Z%7XQzu)xz|M}0pdd-vXKl$vZzTpF3 z_l944Ybnl2oS3<_H5Wd=HciSX1&+nWp8x!PXC9b46YtboT8iFZY z#p}0ArRtdzajAwUmX2M%dbLzqZ%Qh0_pyvqAkN8^XFY3Qb55Sf=jd6_dNAIP(rYm% zy<|p}9*{Ln+y2Ya_~PI}vHGUxREi}Ah35R#9@H&-g*-sFyj zJgnX47+=N57mHoqSIPT4@`s!3S@qrm_tdhM>jmO8)aIbFT))q;ceTpi-g$C1BT&w+ zuO2&g<(H~q-+XCAD^>%8*sFSyccP7XVEZ63dC3%4iNUw-njE0ugsE}w~yui1Cv z*b`?izv)dUPUKI*x>l+s?*4<`d2%)-5WiF{?k8z}>^gHfKK{hMEAfeN+)v`1RI4ZA z{lGmIbJ7E5iplqWV2YjVn!v0GB$W0uIDusS;$_!Ct`9W%+;rhzOukb+ z5}1-e(&)Dt*4|sm8i~y?tgJLLVzBq)t#xaY5hxVW*ir8fNh(jB%s6&#FXjB5$NY++ zI0^9rmRn;yiLoSJikF97mLvaHmqbaU5lCV)`Coo9WL%1c{2>X&JQCvPD7DmDWYxow z9`E6=DkQQ=fh5ZtNNfMs4qE&3-wldO693Zpw`wWBa#d7h)B;ITYcWY)p2T0Ct6dFQ z&dT||QfYj!Qtz=|thRpBN9#!*M=nsmX|eX0)EYk-?{19uKIA9&c+{%@zjiMPVgCIi zABzUt1(M_W*&&`q;trEsO3F$6$)HDLN{qD^YmeRZ#Z@^X;}M8E#mSYYzlGRGS}8QY zrYr62^606~6!9?#WN|-;`%CTr_;9gUjQ7hYo%>3owwFjtmK>P;t zIyfWA%0iZg5HBCfmn^FX@qAuit3^8=7Jq9cA%2c;8KFR0Z>zEtFONv$v*Gw9UNI$& zUvGOd|8Cn-CCR^=@Z`z%(@L$%?mwy5^f*p|H0~iGxg`|OCi!fHi?unrP${NoW4Gz0 zm>qvEC0=k;TaNtC_0Z$U1@fa+IsQ)hk&vHFD)%Je_{sd~)&5ecl$7$zR*vTdZe4rX z@FOE1iw0`~Y0IIM#PdQH{|lw8Qe1pIhF_ECX0_RhE9diAo?lybe_LZkFgAg>!^|fk z{4Z(9_Akt(rLYipoJ;x6lZK-)qd7CpSy{L?rdh@+5NF{)DCJ!g!pQ?+E)A#Rev`(% zC2jla!F-Og_!q*$x7Pv>qZi2PO(ro&&L{B~H_qn?N&GJ?UOE<^9G5Q@ix*>J^AMKL zO1|7w4?K=sAkFuTxQC?2%0C?Uiek8M{OymQek`uP_u=@Z&$kuhN#qboy?cgY&jGb=HFeZ-H1|Z4Z*$GEsOE2olU}l_zI=8>z+$Ti^tOCaQxZH ztyz337S5hu%75%3O+vYp#Li=>+H&MyHC6;;5-7y8cpA;)OOnUeZkCe)b`Qfec zqH)P@m5*g6T8$RVrH~X}S19c{6+ZRc?3PeC^7iNMFFh72r+((h@@E~25q(k_JzeWaZWCWhd)@GlfSGM z!>+mC&ccbgYo2)G^y&Ddta#;0{8s3&GAEZ>wXKaqAfJju8aZbWxm**Y~`$`8tTP^LG zi*s`0jivC!6Y7C_m%^#HRL<|u!lPc;l+5$jAkj;stge{Bo_?eev<)V*CvL#EE_T!l_eVsaF3YRI5p)8jiL7mXqJ4 z8Tll1G`JLQ-1EUN=f8DQ-B&JGFMc`9UCrlY@dXdYIazGXN&Z_~E6e=Knw7B#)9yPD5Q+)tMO;PS6uxwAGK?Z>W=ALA?&5Lo>7G+zD??`KS6XN9)#_Lv^yF}1!V z_grbN3dbw({^LpQvy=Db-NesOT46msPp&QH@FUGt;iLo_ACs(H648t|`mcm1CN&cM z^cH9xxMG>g(XWq>N$=8fMlaC3M5Qxq^psZq{pkDD;^qVvJ|63@+I2S9p4vSH;%Otv z4@vR=EEHp``4Mk3Y}P#bYt1#`*aXs$=55Dg)yhv^=hxr0mZX;1j2}7JToaB@AiugR z9*ojb8Xxb9_chjHg<`zID9vA-#1A3cI(JV{8^$2;ATDX`F+ZJ@^OX2VnoIfDn`^=`31mrDd$yZ}tky$}6+?D0c{rX*vMi=OT1;9`jAM~Pem8_= z`~pj7<3;pG9*uV}=9dNUnXmPj#1Dnf)z%uP`uaHjPCf8APJtv#&MzH^2dUEX`O2=O zSSsGKD;~Y(_s2b?lJ73@tkPPJ{@d2NwQ&ku*psEjsq`ws2HF9ro}YgfPGI0NikVW=8G>3@qVHBaZ204tMdM_4n*Ut z1?H3DH{G)k_li3z;m6+*4`t!V!QJPQ`24tSN2&Zzt1F3(OW;E%!%Hrnj4#|PAHTJH zd{4SB+)^&Y>$~F4Q;OeERC{Vx@4K*jTw~B~r$9VH#b?3s(Diso;zeF@7Am#-;`8Um zwiUlMpB`o~?w_ z=jQ+Yi+=Oe&m1T}_s1?iT>i}ih4Q-(#qW~3w6|>tA8cEv! zCx5s4OVw}svycAuouB>a({4EQzNfdwu#mOZt&LZpe*NjvQoO72Sn=U_*W8Ixy!+=w zSXzpA*0r5a{NC?)=b^pz<=W-iOLJl0Pmb@y2KU%83*CoK&mVw9U!UZyWz8^w2Ia7mr=V;@!Vd`Q(-A z!JjJJu`hh&BbP77myIjS!rxq3wK7(LdoLWh>y}b-=u|lJqNEh|p9+=zNhyipk^Qmb z{I9VbeP44;I9`Fbq*=V5G2C4V*^&4)Yw<;W9>%x7Vi)KF0apL;RSFgt-A>X|zq{I3rjJaeUbap`Kj zH*0C>(aUj8s^M}eznQLWIciSYG{(Am=blr$PR|u?yfK93JI|bmFH9Z_)$7C6E2q=g zZ`*#daQ9fpq4Ac$nLQ8w*VCnt&&k#5cYbH#j^gEN_4+f_%jxM>p?uApto9HiK!5;& zjR{;o`28oz#(GeTz}6RdQhs6gU`zaH-?AxzWU$)hR}WTBsSydBitXg@rL6NWyz+_> z^`)5}0`2(uJty@kSv4tb*W2ZdEIrVpDaqpzn6JG99Nz_wS9bMSD&N;5Lo$KwFObDe z%#Tv_s~qD`IJGu8X|2Y!qd&4LDl$%i_EXC`{TzAKI+?OYDUj86lPp}U?I5eJq+i|5 zkvmq$#l|c!SG!s}UWuBvU5(n&=j%J-q9Yba+S@B9`A$;XQQFeWZR;^R{+yGA009C7 z2oNAZfB*pk1PBlyK!5-N0vi;ly#X2D6JAVX12HU~>}=@BKkZBrAFse|_2#qpC-qMp z&*fj=)xMM$eycrdodAJu0@r<_TWUvI(h(OOr$D;!FUHxtwl^S9x%Q0%)Ee-5ive0v zBno8lzx=VjT+F}xZq;(+r&dKpCLmDi(?|Jop8~Q+Ef8OmWO6^W(2>be>CUnJ;J+u{L3W=DEEYHo9MWe8S_#s}lA8IUSE4lefUQaT zYu(yp1lpz}Y4q3(Ytix-8>xZ`3tYVY*kULhf9zs75Wk=Pc+%>A>1SH&)+Q-Xyzjnn zd+}`{Kb;&e720;5rN2MPap3rrpFo_G{JC)P;kW+K;_>_D!ozQTa|~DghL-QL9Up(! zmh=52&dIKPPKqyj?6G`K!s+8}ziYPeHC-!89J|1oGx0ly!$Z|@=BC$VA;fQiZZ4Jj ziKZD9PE4RaC)H{-&PnXFeNLQDCN>MJJ34d!{m%`BYW1u4=W|l{YAd($wXJn)lN4y~ zCxzOawC^Vi-#p22=qnef)UMe+8TS8T9!uia*Tge@aVbD-)!tsOwuF;7Jj?^ zn+PY_v%Y!>?B5l0(q6d(rY8fIQ)~c%Vp#Y`Ax#G;smR0xvg`9}xRXux=lQ{g0OL1x zc3qDAUi^-)_@8A80!{X2*cif*+V|WgQy9?B?XvwV?Yb!}HGg-mW3IZDl{OZE)xRE} zck%BU{bY?$Fm-|J@7}-=(hby6g}@98tQ@S8#vq$vBf9XtjTOPf1@doA?Y3O|fo`dN z($YTpvWF2^`!wv+jq1rT%_uugfrUS8w4RQ$7i|;R+5$&^x7V3wYj2m)6Ckk71)f`b ztG?IU#@l@3XLs}B&pfpK(!=bI)5r)AAkZ%0*wwBv{q>_?DC8f{ zHsHRiZAn(AEl{n-`MBEln~l>Rkp6=?nYn)ij$N}hB}YG<)PA$Exb~BkSsUt(8PCG+ z<-ge&U&wnUzhmayh7uq^fB*pk1a^i%Ijmd<*<*tj54B~aZR=LYDX{Kc4CODZ={l*U z)F0PmN*KSu;_kLSvbJ@rLkSG?Hb}n@MsEJ+Yr3xQSL^OwYwAcCSfD<8={n`FdF48p zqs_`j+uUrm*6+OCG1_E3jKgeEj{oe7a zym4!7&$bzD+Ivf!PkP&oC#M`v^?89=xnVp#Yqgg^Rtd%Oy6;?-f3{a$>0=Ve_mXVA zwkxk%FIV2^1qxY`H(GxyvU~GqyC+K}u(Jf#|N7uc`)9v93uando8H&w6Z!HdrZu#k z+V5h%;iuP|mh!LdRGVpS_rq~NIexml&TEwN2d6c*o!al>efKSv*WFJl-QNb=sbCY> z?&QA5-?;pE;jZ3Yd41B`W;{9hoP_6^lkwMx?0^f0?YGpQx?|QoJ#jgjMk|kivR%v1PBlyK!5-N0t5&UAh2Tul73ry;0ODa z7(sqEf;KckU;+ZYF1y=xY52~2wKaT8YIGAg`h`a7x>pr-R;K{#S5M{L??EC1tBaum9CkbS|Hn#XX9XS)(M?3o5nbD-z zW^drW-=1yt>SLRvk9)gU+MoN|Ys6Oa*5pVSMxgI~i;c$Clw0^`!*tV8HtmRakFM#n zd$DbkI@tEEbBAk|RZ+~d! zHS2c9o9WH4nV*ELnY3=$D!*>Ana^x)N56qK%QeH!^7Zt>ihHwMGYoTS=vo|dO{#_Oe8kNxsnCF^U$ zmhY@T1MzgoG4w0nnZFX>)BE>+SIUI$OTYZiTEmv_tUvvD=d}ynN7TA7t9$v*{LXl| zY5$swyVjKH=(=BiNAb4yyN|K6{7lEQfkv0Cl8I*%BK9obnco=?2am;WYHviQv5}Df zHRHX;&@VqWv1%E%{Hn?`6)9c{$NCTGSSV)o7kJ&vcjkA-!-21j-PGH-6rXRMk6kw7 zvE8m?=$GF)>S4=w))yy0fB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs z0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZ zfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&U zAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C7 z2oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N z0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+ z009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBly zK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF z5FkK+009C72oNAZfB*pk1PBlyK!5-N0t5&UAV7cs0RjXF5FkK+009C72oNAZfB*pk z1PBlyK!5-N0t5&UAV6Sb0?Q#3ZunSuVG>)+|CU2mh{0mp`mTK4-|QGP=4h@yM@eDV wNAr1mJkHx(VL4oCgrV^HfAZF!ZO&Vx&Na=raCYX?T$l_02K*b?3jYTFf5OS(HUIzs literal 0 HcmV?d00001 diff --git a/end_game/end_game.runs/impl_1/main.tcl b/end_game/end_game.runs/impl_1/main.tcl new file mode 100644 index 0000000..2891d9c --- /dev/null +++ b/end_game/end_game.runs/impl_1/main.tcl @@ -0,0 +1,149 @@ +proc start_step { step } { + set stopFile ".stop.rst" + if {[file isfile .stop.rst]} { + puts "" + puts "*** Halting run - EA reset detected ***" + puts "" + puts "" + return -code error + } + set beginFile ".$step.begin.rst" + set platform "$::tcl_platform(platform)" + set user "$::tcl_platform(user)" + set pid [pid] + set host "" + if { [string equal $platform unix] } { + if { [info exist ::env(HOSTNAME)] } { + set host $::env(HOSTNAME) + } + } else { + if { [info exist ::env(COMPUTERNAME)] } { + set host $::env(COMPUTERNAME) + } + } + set ch [open $beginFile w] + puts $ch "" + puts $ch "" + puts $ch " " + puts $ch " " + puts $ch "" + close $ch +} + +proc end_step { step } { + set endFile ".$step.end.rst" + set ch [open $endFile w] + close $ch +} + +proc step_failed { step } { + set endFile ".$step.error.rst" + set ch [open $endFile w] + close $ch +} + +set_msg_config -id {Common 17-41} -limit 10000000 + +start_step init_design +set ACTIVE_STEP init_design +set rc [catch { + create_msg_db init_design.pb + set_param xicom.use_bs_reader 1 + create_project -in_memory -part xc7a35tcpg236-1 + set_property design_mode GateLvl [current_fileset] + set_param project.singleFileAddWarning.threshold 0 + set_property webtalk.parent_dir C:/Users/hp/end_game/end_game.cache/wt [current_project] + set_property parent.project_path C:/Users/hp/end_game/end_game.xpr [current_project] + set_property ip_output_repo C:/Users/hp/end_game/end_game.cache/ip [current_project] + set_property ip_cache_permissions {read write} [current_project] + add_files -quiet C:/Users/hp/end_game/end_game.runs/synth_1/main.dcp + read_xdc C:/Users/hp/end_game/end_game.srcs/constrs_1/new/my_constraint.xdc + link_design -top main -part xc7a35tcpg236-1 + close_msg_db -file init_design.pb +} RESULT] +if {$rc} { + step_failed init_design + return -code error $RESULT +} else { + end_step init_design + unset ACTIVE_STEP +} + +start_step opt_design +set ACTIVE_STEP opt_design +set rc [catch { + create_msg_db opt_design.pb + opt_design + write_checkpoint -force main_opt.dcp + catch { report_drc -file main_drc_opted.rpt } + close_msg_db -file opt_design.pb +} RESULT] +if {$rc} { + step_failed opt_design + return -code error $RESULT +} else { + end_step opt_design + unset ACTIVE_STEP +} + +start_step place_design +set ACTIVE_STEP place_design +set rc [catch { + create_msg_db place_design.pb + implement_debug_core + place_design + write_checkpoint -force main_placed.dcp + catch { report_io -file main_io_placed.rpt } + catch { report_utilization -file main_utilization_placed.rpt -pb main_utilization_placed.pb } + catch { report_control_sets -verbose -file main_control_sets_placed.rpt } + close_msg_db -file place_design.pb +} RESULT] +if {$rc} { + step_failed place_design + return -code error $RESULT +} else { + end_step place_design + unset ACTIVE_STEP +} + +start_step route_design +set ACTIVE_STEP route_design +set rc [catch { + create_msg_db route_design.pb + route_design + write_checkpoint -force main_routed.dcp + catch { report_drc -file main_drc_routed.rpt -pb main_drc_routed.pb -rpx main_drc_routed.rpx } + catch { report_methodology -file main_methodology_drc_routed.rpt -rpx main_methodology_drc_routed.rpx } + catch { report_power -file main_power_routed.rpt -pb main_power_summary_routed.pb -rpx main_power_routed.rpx } + catch { report_route_status -file main_route_status.rpt -pb main_route_status.pb } + catch { report_clock_utilization -file main_clock_utilization_routed.rpt } + catch { report_timing_summary -warn_on_violation -max_paths 10 -file main_timing_summary_routed.rpt -rpx main_timing_summary_routed.rpx } + close_msg_db -file route_design.pb +} RESULT] +if {$rc} { + write_checkpoint -force main_routed_error.dcp + step_failed route_design + return -code error $RESULT +} else { + end_step route_design + unset ACTIVE_STEP +} + +start_step write_bitstream +set ACTIVE_STEP write_bitstream +set rc [catch { + create_msg_db write_bitstream.pb + catch { write_mem_info -force main.mmi } + write_bitstream -force main.bit + catch {write_debug_probes -no_partial_ltxfile -quiet -force debug_nets} + catch {file copy -force debug_nets.ltx main.ltx} + close_msg_db -file write_bitstream.pb +} RESULT] +if {$rc} { + step_failed write_bitstream + return -code error $RESULT +} else { + end_step write_bitstream + unset ACTIVE_STEP +} + diff --git a/end_game/end_game.runs/impl_1/main.vdi b/end_game/end_game.runs/impl_1/main.vdi new file mode 100644 index 0000000..a8cb299 --- /dev/null +++ b/end_game/end_game.runs/impl_1/main.vdi @@ -0,0 +1,406 @@ +#----------------------------------------------------------- +# Vivado v2017.1 (64-bit) +# SW Build 1846317 on Fri Apr 14 18:55:03 MDT 2017 +# IP Build 1846188 on Fri Apr 14 20:52:08 MDT 2017 +# Start of session at: Sat Nov 23 00:05:51 2019 +# Process ID: 4936 +# Current directory: C:/Users/hp/end_game/end_game.runs/impl_1 +# Command line: vivado.exe -log main.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source main.tcl -notrace +# Log file: C:/Users/hp/end_game/end_game.runs/impl_1/main.vdi +# Journal file: C:/Users/hp/end_game/end_game.runs/impl_1\vivado.jou +#----------------------------------------------------------- +source main.tcl -notrace +Design is defaulting to srcset: sources_1 +Design is defaulting to constrset: constrs_1 +INFO: [Netlist 29-17] Analyzing 13 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-479] Netlist was created with Vivado 2017.1 +INFO: [Device 21-403] Loading part xc7a35tcpg236-1 +INFO: [Project 1-570] Preparing netlist for logic optimization +Parsing XDC File [C:/Users/hp/end_game/end_game.srcs/constrs_1/new/my_constraint.xdc] +Finished Parsing XDC File [C:/Users/hp/end_game/end_game.srcs/constrs_1/new/my_constraint.xdc] +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +link_design: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 588.727 ; gain = 286.953 +Command: opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a35t-cpg236' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a35t-cpg236' +Running DRC as a precondition to command opt_design + +Starting DRC Task +Command: report_drc (run_mandatory_drcs) for: opt_checks +INFO: [DRC 23-27] Running DRC with 2 threads +report_drc (run_mandatory_drcs) completed successfully +INFO: [Project 1-461] DRC finished with 0 Errors +INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.440 . Memory (MB): peak = 599.543 ; gain = 10.816 +INFO: [Timing 38-35] Done setting XDC timing constraints. + +Starting Logic Optimization Task + +Phase 1 Retarget +INFO: [Opt 31-138] Pushed 1 inverter(s) to 8 load pin(s). +INFO: [Opt 31-49] Retargeted 0 cell(s). +Phase 1 Retarget | Checksum: 199e44df7 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.028 . Memory (MB): peak = 1154.863 ; gain = 0.000 +INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 34 cells + +Phase 2 Constant propagation +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Phase 2 Constant propagation | Checksum: 1105a4869 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.040 . Memory (MB): peak = 1154.863 ; gain = 0.000 +INFO: [Opt 31-389] Phase Constant propagation created 7 cells and removed 14 cells + +Phase 3 Sweep +Phase 3 Sweep | Checksum: 1931c868d + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.047 . Memory (MB): peak = 1154.863 ; gain = 0.000 +INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells + +Phase 4 BUFG optimization +Phase 4 BUFG optimization | Checksum: 1931c868d + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.052 . Memory (MB): peak = 1154.863 ; gain = 0.000 +INFO: [Opt 31-389] Phase BUFG optimization created 0 cells and removed 0 cells + +Phase 5 Shift Register Optimization +Phase 5 Shift Register Optimization | Checksum: 1931c868d + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.053 . Memory (MB): peak = 1154.863 ; gain = 0.000 +INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells + +Starting Connectivity Check Task + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1154.863 ; gain = 0.000 +Ending Logic Optimization Task | Checksum: 1931c868d + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.055 . Memory (MB): peak = 1154.863 ; gain = 0.000 + +Starting Power Optimization Task +INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. +Ending Power Optimization Task | Checksum: 132bfa9e8 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.007 . Memory (MB): peak = 1154.863 ; gain = 0.000 +21 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +opt_design completed successfully +opt_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1154.863 ; gain = 566.137 +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.048 . Memory (MB): peak = 1154.863 ; gain = 0.000 +INFO: [Common 17-1381] The checkpoint 'C:/Users/hp/end_game/end_game.runs/impl_1/main_opt.dcp' has been generated. +Command: report_drc -file main_drc_opted.rpt +INFO: [DRC 23-27] Running DRC with 2 threads +INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/hp/end_game/end_game.runs/impl_1/main_drc_opted.rpt. +report_drc completed successfully +INFO: [Chipscope 16-241] No debug cores found in the current design. +Before running the implement_debug_core command, either use the Set Up Debug wizard (GUI mode) +or use the create_debug_core and connect_debug_core Tcl commands to insert debug cores into the design. +Command: place_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a35t-cpg236' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a35t-cpg236' +Command: report_drc (run_mandatory_drcs) for: incr_eco_checks +INFO: [DRC 23-27] Running DRC with 2 threads +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +Running DRC as a precondition to command place_design +Command: report_drc (run_mandatory_drcs) for: placer_checks +INFO: [DRC 23-27] Running DRC with 2 threads +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + +Starting Placer Task +INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs + +Phase 1 Placer Initialization + +Phase 1.1 Placer Initialization Netlist Sorting +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1154.863 ; gain = 0.000 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 121ed6241 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 1154.863 ; gain = 0.000 +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1154.863 ; gain = 0.000 + +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device +INFO: [Timing 38-35] Done setting XDC timing constraints. +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 1643462e3 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.669 . Memory (MB): peak = 1154.863 ; gain = 0.000 + +Phase 1.3 Build Placer Netlist Model +Phase 1.3 Build Placer Netlist Model | Checksum: 22f440c62 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.686 . Memory (MB): peak = 1154.863 ; gain = 0.000 + +Phase 1.4 Constrain Clocks/Macros +Phase 1.4 Constrain Clocks/Macros | Checksum: 22f440c62 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.688 . Memory (MB): peak = 1154.863 ; gain = 0.000 +Phase 1 Placer Initialization | Checksum: 22f440c62 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.688 . Memory (MB): peak = 1154.863 ; gain = 0.000 + +Phase 2 Global Placement +WARNING: [Place 46-30] place_design is not in timing mode. Skip physical synthesis in placer +Phase 2 Global Placement | Checksum: 1712aec50 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.870 . Memory (MB): peak = 1154.863 ; gain = 0.000 + +Phase 3 Detail Placement + +Phase 3.1 Commit Multi Column Macros +Phase 3.1 Commit Multi Column Macros | Checksum: 1712aec50 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.873 . Memory (MB): peak = 1154.863 ; gain = 0.000 + +Phase 3.2 Commit Most Macros & LUTRAMs +Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 206c29ca8 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.881 . Memory (MB): peak = 1154.863 ; gain = 0.000 + +Phase 3.3 Area Swap Optimization +Phase 3.3 Area Swap Optimization | Checksum: 28653ff41 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.888 . Memory (MB): peak = 1154.863 ; gain = 0.000 + +Phase 3.4 Pipeline Register Optimization +Phase 3.4 Pipeline Register Optimization | Checksum: 28653ff41 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.889 . Memory (MB): peak = 1154.863 ; gain = 0.000 + +Phase 3.5 Small Shape Detail Placement +Phase 3.5 Small Shape Detail Placement | Checksum: 2583ee500 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1154.863 ; gain = 0.000 + +Phase 3.6 Re-assign LUT pins +Phase 3.6 Re-assign LUT pins | Checksum: 2583ee500 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1154.863 ; gain = 0.000 + +Phase 3.7 Pipeline Register Optimization +Phase 3.7 Pipeline Register Optimization | Checksum: 2583ee500 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1154.863 ; gain = 0.000 +Phase 3 Detail Placement | Checksum: 2583ee500 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1154.863 ; gain = 0.000 + +Phase 4 Post Placement Optimization and Clean-Up + +Phase 4.1 Post Commit Optimization +Phase 4.1 Post Commit Optimization | Checksum: 2583ee500 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1154.863 ; gain = 0.000 + +Phase 4.2 Post Placement Cleanup +Phase 4.2 Post Placement Cleanup | Checksum: 2583ee500 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1154.863 ; gain = 0.000 + +Phase 4.3 Placer Reporting +Phase 4.3 Placer Reporting | Checksum: 2583ee500 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1154.863 ; gain = 0.000 + +Phase 4.4 Final Placement Cleanup +Phase 4.4 Final Placement Cleanup | Checksum: 1d3680a9f + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1154.863 ; gain = 0.000 +Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1d3680a9f + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1154.863 ; gain = 0.000 +Ending Placer Task | Checksum: 114cef4fc + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1154.863 ; gain = 0.000 +36 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +place_design completed successfully +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.061 . Memory (MB): peak = 1154.863 ; gain = 0.000 +INFO: [Common 17-1381] The checkpoint 'C:/Users/hp/end_game/end_game.runs/impl_1/main_placed.dcp' has been generated. +report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.034 . Memory (MB): peak = 1154.863 ; gain = 0.000 +report_utilization: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.023 . Memory (MB): peak = 1154.863 ; gain = 0.000 +report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.004 . Memory (MB): peak = 1154.863 ; gain = 0.000 +Command: route_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a35t-cpg236' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a35t-cpg236' +Running DRC as a precondition to command route_design +Command: report_drc (run_mandatory_drcs) for: router_checks +INFO: [DRC 23-27] Running DRC with 2 threads +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + + +Starting Routing Task +INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs +Checksum: PlaceDB: e7fcca7e ConstDB: 0 ShapeSum: 2cd22a7e RouteDB: 0 + +Phase 1 Build RT Design +Phase 1 Build RT Design | Checksum: 170549879 + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:14 . Memory (MB): peak = 1248.793 ; gain = 93.930 + +Phase 2 Router Initialization +INFO: [Route 35-64] No timing constraints were detected. The router will operate in resource-optimization mode. + +Phase 2.1 Fix Topology Constraints +Phase 2.1 Fix Topology Constraints | Checksum: 170549879 + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:14 . Memory (MB): peak = 1254.777 ; gain = 99.914 + +Phase 2.2 Pre Route Cleanup +Phase 2.2 Pre Route Cleanup | Checksum: 170549879 + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:14 . Memory (MB): peak = 1254.777 ; gain = 99.914 + Number of Nodes with overlaps = 0 +Phase 2 Router Initialization | Checksum: 10d7fb730 + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:15 . Memory (MB): peak = 1260.539 ; gain = 105.676 + +Phase 3 Initial Routing +Phase 3 Initial Routing | Checksum: e1814700 + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:15 . Memory (MB): peak = 1260.539 ; gain = 105.676 + +Phase 4 Rip-up And Reroute + +Phase 4.1 Global Iteration 0 + Number of Nodes with overlaps = 27 + Number of Nodes with overlaps = 0 +Phase 4.1 Global Iteration 0 | Checksum: 122ad0ef8 + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:15 . Memory (MB): peak = 1260.539 ; gain = 105.676 +Phase 4 Rip-up And Reroute | Checksum: 122ad0ef8 + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:15 . Memory (MB): peak = 1260.539 ; gain = 105.676 + +Phase 5 Delay and Skew Optimization +Phase 5 Delay and Skew Optimization | Checksum: 122ad0ef8 + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:15 . Memory (MB): peak = 1260.539 ; gain = 105.676 + +Phase 6 Post Hold Fix + +Phase 6.1 Hold Fix Iter +Phase 6.1 Hold Fix Iter | Checksum: 122ad0ef8 + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:15 . Memory (MB): peak = 1260.539 ; gain = 105.676 +Phase 6 Post Hold Fix | Checksum: 122ad0ef8 + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:15 . Memory (MB): peak = 1260.539 ; gain = 105.676 + +Phase 7 Route finalize + +Router Utilization Summary + Global Vertical Routing Utilization = 0.0762975 % + Global Horizontal Routing Utilization = 0.107236 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 0 + Number of Unrouted Nets = 0 + Number of Partially Routed Nets = 0 + Number of Node Overlaps = 0 + +Congestion Report +North Dir 1x1 Area, Max Cong = 16.2162%, No Congested Regions. +South Dir 1x1 Area, Max Cong = 25.2252%, No Congested Regions. +East Dir 1x1 Area, Max Cong = 20.5882%, No Congested Regions. +West Dir 1x1 Area, Max Cong = 27.9412%, No Congested Regions. +Phase 7 Route finalize | Checksum: 122ad0ef8 + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:15 . Memory (MB): peak = 1260.539 ; gain = 105.676 + +Phase 8 Verifying routed nets + + Verification completed successfully +Phase 8 Verifying routed nets | Checksum: 122ad0ef8 + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:15 . Memory (MB): peak = 1260.766 ; gain = 105.902 + +Phase 9 Depositing Routes +Phase 9 Depositing Routes | Checksum: ca204202 + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:15 . Memory (MB): peak = 1260.766 ; gain = 105.902 +INFO: [Route 35-16] Router Completed Successfully + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:15 . Memory (MB): peak = 1260.766 ; gain = 105.902 + +Routing Is Done. +44 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +route_design completed successfully +route_design: Time (s): cpu = 00:00:18 ; elapsed = 00:00:15 . Memory (MB): peak = 1260.766 ; gain = 105.902 +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.072 . Memory (MB): peak = 1260.766 ; gain = 0.000 +INFO: [Common 17-1381] The checkpoint 'C:/Users/hp/end_game/end_game.runs/impl_1/main_routed.dcp' has been generated. +Command: report_drc -file main_drc_routed.rpt -pb main_drc_routed.pb -rpx main_drc_routed.rpx +INFO: [DRC 23-27] Running DRC with 2 threads +INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/hp/end_game/end_game.runs/impl_1/main_drc_routed.rpt. +report_drc completed successfully +Command: report_methodology -file main_methodology_drc_routed.rpt -rpx main_methodology_drc_routed.rpx +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [DRC 23-133] Running Methodology with 2 threads +INFO: [Coretcl 2-1520] The results of Report Methodology are in file C:/Users/hp/end_game/end_game.runs/impl_1/main_methodology_drc_routed.rpt. +report_methodology completed successfully +Command: report_power -file main_power_routed.rpt -pb main_power_summary_routed.pb -rpx main_power_routed.rpx +WARNING: [Power 33-232] No user defined clocks were found in the design! +Resolution: Please specify clocks using create_clock/create_generated_clock for sequential elements. For pure combinatorial circuits, please specify a virtual clock, otherwise the vectorless estimation might be inaccurate +INFO: [Timing 38-35] Done setting XDC timing constraints. +Running Vector-less Activity Propagation... + +Finished Running Vector-less Activity Propagation +51 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered. +report_power completed successfully +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs +WARNING: [Timing 38-313] There are no user specified timing constraints. Timing constraints are needed for proper timing analysis. +Command: write_bitstream -force main.bit +Attempting to get a license for feature 'Implementation' and/or device 'xc7a35t-cpg236' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a35t-cpg236' +Running DRC as a precondition to command write_bitstream +Command: report_drc (run_mandatory_drcs) for: bitstream_checks +INFO: [DRC 23-27] Running DRC with 2 threads +WARNING: [DRC CFGBVS-1] Missing CFGBVS and CONFIG_VOLTAGE Design Properties: Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado 12-3199] DRC finished with 0 Errors, 1 Warnings +INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. +INFO: [Project 1-821] Please set project.enableDesignId to be 'true'. +INFO: [Designutils 20-2272] Running write_bitstream with 2 threads. +Loading data files... +Loading site data... +Loading route data... +Processing options... +Creating bitmap... +Creating bitstream... +Writing bitstream ./main.bit... +INFO: [Vivado 12-1842] Bitgen Completed Successfully. +INFO: [Project 1-118] WebTalk data collection is enabled (User setting is ON. Install Setting is ON.). +61 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered. +write_bitstream completed successfully +write_bitstream: Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 1702.137 ; gain = 405.188 +INFO: [Common 17-206] Exiting Vivado at Sat Nov 23 00:06:44 2019... diff --git a/end_game/end_game.runs/impl_1/main_clock_utilization_routed.rpt b/end_game/end_game.runs/impl_1/main_clock_utilization_routed.rpt new file mode 100644 index 0000000..4175bc4 --- /dev/null +++ b/end_game/end_game.runs/impl_1/main_clock_utilization_routed.rpt @@ -0,0 +1,193 @@ +Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +------------------------------------------------------------------------------------ +| Tool Version : Vivado v.2017.1 (win64) Build 1846317 Fri Apr 14 18:55:03 MDT 2017 +| Date : Sat Nov 23 00:06:33 2019 +| Host : DESKTOP-I2AH2G3 running 64-bit major release (build 9200) +| Command : report_clock_utilization -file main_clock_utilization_routed.rpt +| Design : main +| Device : 7a35t-cpg236 +| Speed File : -1 PRODUCTION 1.16 2016-11-09 +------------------------------------------------------------------------------------ + +Clock Utilization Report + +Table of Contents +----------------- +1. Clock Primitive Utilization +2. Global Clock Resources +3. Global Clock Source Details +4. Clock Regions: Key Resource Utilization +5. Clock Regions : Global Clock Summary +6. Device Cell Placement Summary for Global Clock g0 +7. Device Cell Placement Summary for Global Clock g1 +8. Clock Region Cell Placement per Global Clock: Region X0Y0 +9. Clock Region Cell Placement per Global Clock: Region X1Y0 + +1. Clock Primitive Utilization +------------------------------ + ++----------+------+-----------+-----+--------------+--------+ +| Type | Used | Available | LOC | Clock Region | Pblock | ++----------+------+-----------+-----+--------------+--------+ +| BUFGCTRL | 2 | 32 | 0 | 0 | 0 | +| BUFH | 0 | 72 | 0 | 0 | 0 | +| BUFIO | 0 | 20 | 0 | 0 | 0 | +| BUFMR | 0 | 10 | 0 | 0 | 0 | +| BUFR | 0 | 20 | 0 | 0 | 0 | +| MMCM | 0 | 5 | 0 | 0 | 0 | +| PLL | 0 | 5 | 0 | 0 | 0 | ++----------+------+-----------+-----+--------------+--------+ + + +2. Global Clock Resources +------------------------- + ++-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+ +| Global Id | Source Id | Driver Type/Pin | Constraint | Site | Clock Region | Load Clock Region | Clock Loads | Non-Clock Loads | Clock Period | Clock | Driver Pin | Net | ++-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+ +| g0 | src0 | BUFG/O | None | BUFGCTRL_X0Y0 | n/a | 1 | 69 | 0 | | | clk_out_BUFG_inst/O | clk_out_BUFG | +| g1 | src1 | BUFG/O | None | BUFGCTRL_X0Y1 | n/a | 2 | 55 | 0 | | | clk_IBUF_BUFG_inst/O | clk_IBUF_BUFG | ++-----------+-----------+-----------------+------------+---------------+--------------+-------------------+-------------+-----------------+--------------+-------+----------------------+---------------+ +* Clock Loads column represents the clock pin loads (pin count) +** Non-Clock Loads column represents the non-clock pin loads (pin count) + + +3. Global Clock Source Details +------------------------------ + ++-----------+-----------+-----------------+------------+--------------+--------------+-------------+-----------------+---------------------+--------------+-----------------------+-----------------+ +| Source Id | Global Id | Driver Type/Pin | Constraint | Site | Clock Region | Clock Loads | Non-Clock Loads | Source Clock Period | Source Clock | Driver Pin | Net | ++-----------+-----------+-----------------+------------+--------------+--------------+-------------+-----------------+---------------------+--------------+-----------------------+-----------------+ +| src0 | g0 | FDRE/Q | None | SLICE_X33Y45 | X0Y0 | 1 | 1 | | | clkDiv0/clk_out_reg/Q | clkDiv0/clk_out | +| src1 | g1 | IBUF/O | IOB_X1Y26 | IOB_X1Y26 | X1Y0 | 1 | 0 | | | clk_IBUF_inst/O | clk_IBUF | ++-----------+-----------+-----------------+------------+--------------+--------------+-------------+-----------------+---------------------+--------------+-----------------------+-----------------+ +* Clock Loads column represents the clock pin loads (pin count) +** Non-Clock Loads column represents the non-clock pin loads (pin count) + + +4. Clock Regions: Key Resource Utilization +------------------------------------------ + ++-------------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+ +| | Global Clock | BUFRs | BUFMRs | BUFIOs | MMCM | PLL | GT | PCI | ILOGIC | OLOGIC | FF | LUTM | RAMB18 | RAMB36 | DSP48E2 | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +| Clock Region Name | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +| X0Y0 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 34 | 1200 | 31 | 400 | 0 | 20 | 0 | 10 | 0 | 20 | +| X1Y0 | 2 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 90 | 1500 | 42 | 450 | 0 | 40 | 0 | 20 | 0 | 20 | +| X0Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 1200 | 0 | 400 | 0 | 20 | 0 | 10 | 0 | 20 | +| X1Y1 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 1500 | 0 | 450 | 0 | 40 | 0 | 20 | 0 | 20 | +| X0Y2 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 1800 | 0 | 400 | 0 | 20 | 0 | 10 | 0 | 20 | +| X1Y2 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 4 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 950 | 0 | 300 | 0 | 10 | 0 | 5 | 0 | 20 | ++-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+ +* Global Clock column represents track count; while other columns represents cell counts + + +5. Clock Regions : Global Clock Summary +--------------------------------------- + ++----+----+----+ +| | X0 | X1 | ++----+----+----+ +| Y2 | 0 | 0 | +| Y1 | 0 | 0 | +| Y0 | 1 | 2 | ++----+----+----+ + + +6. Device Cell Placement Summary for Global Clock g0 +---------------------------------------------------- + ++-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+--------------+ +| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | ++-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+--------------+ +| g0 | BUFG/O | n/a | | | | 69 | 0 | 0 | 0 | clk_out_BUFG | ++-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+--------------+ +* Logic Loads column represents load cell count of all cell types other than IO, GT and clock resources +** IO Loads column represents load cell count of IO types +*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) +**** GT Loads column represents load cell count of GT types + + ++----+----+-----+ +| | X0 | X1 | ++----+----+-----+ +| Y2 | 0 | 0 | +| Y1 | 0 | 0 | +| Y0 | 0 | 69 | ++----+----+-----+ + + +7. Device Cell Placement Summary for Global Clock g1 +---------------------------------------------------- + ++-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+ +| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net | ++-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+ +| g1 | BUFG/O | n/a | | | | 55 | 0 | 0 | 0 | clk_IBUF_BUFG | ++-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+---------------+ +* Logic Loads column represents load cell count of all cell types other than IO, GT and clock resources +** IO Loads column represents load cell count of IO types +*** Clocking Loads column represents load cell count that are clock resources (global clock buffer, MMCM, PLL, etc) +**** GT Loads column represents load cell count of GT types + + ++----+-----+-----+ +| | X0 | X1 | ++----+-----+-----+ +| Y2 | 0 | 0 | +| Y1 | 0 | 0 | +| Y0 | 34 | 21 | ++----+-----+-----+ + + +8. Clock Region Cell Placement per Global Clock: Region X0Y0 +------------------------------------------------------------ + ++-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ +| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | LUTRAM | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | ++-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ +| g1 | n/a | BUFG/O | None | 34 | 0 | 34 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_IBUF_BUFG | ++-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ +* Clock Loads column represents the clock pin loads (pin count) +** Non-Clock Loads column represents the non-clock pin loads (pin count) +*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts + + +9. Clock Region Cell Placement per Global Clock: Region X1Y0 +------------------------------------------------------------ + ++-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ +| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | LUTRAM | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net | ++-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ +| g0 | n/a | BUFG/O | None | 69 | 0 | 69 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_out_BUFG | +| g1 | n/a | BUFG/O | None | 21 | 0 | 21 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | clk_IBUF_BUFG | ++-----------+-------+-----------------+------------+-------------+-----------------+----+--------+------+-----+----+------+-----+---------+---------------+ +* Clock Loads column represents the clock pin loads (pin count) +** Non-Clock Loads column represents the non-clock pin loads (pin count) +*** Columns FF, LUTRAM, RAMB through 'Hard IP' represents load cell counts + + + +# Location of BUFG Primitives +set_property LOC BUFGCTRL_X0Y0 [get_cells clk_out_BUFG_inst] +set_property LOC BUFGCTRL_X0Y1 [get_cells clk_IBUF_BUFG_inst] + +# Location of IO Primitives which is load of clock spine + +# Location of clock ports +set_property LOC IOB_X1Y26 [get_ports clk] + +# Clock net "clk_out_BUFG" driven by instance "clk_out_BUFG_inst" located at site "BUFGCTRL_X0Y0" +#startgroup +create_pblock {CLKAG_clk_out_BUFG} +add_cells_to_pblock [get_pblocks {CLKAG_clk_out_BUFG}] [get_cells -filter { PRIMITIVE_GROUP != I/O && IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL } -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="clk_out_BUFG"}]]] +resize_pblock [get_pblocks {CLKAG_clk_out_BUFG}] -add {CLOCKREGION_X1Y0:CLOCKREGION_X1Y0} +#endgroup + +# Clock net "clk_IBUF_BUFG" driven by instance "clk_IBUF_BUFG_inst" located at site "BUFGCTRL_X0Y1" +#startgroup +create_pblock {CLKAG_clk_IBUF_BUFG} +add_cells_to_pblock [get_pblocks {CLKAG_clk_IBUF_BUFG}] [get_cells -filter { PRIMITIVE_GROUP != I/O && IS_PRIMITIVE==1 && PRIMITIVE_LEVEL !=INTERNAL } -of_object [get_pins -filter {DIRECTION==IN} -of_objects [get_nets -hierarchical -filter {PARENT=="clk_IBUF_BUFG"}]]] +resize_pblock [get_pblocks {CLKAG_clk_IBUF_BUFG}] -add {CLOCKREGION_X0Y0:CLOCKREGION_X0Y0 CLOCKREGION_X1Y0:CLOCKREGION_X1Y0} +#endgroup diff --git a/end_game/end_game.runs/impl_1/main_control_sets_placed.rpt b/end_game/end_game.runs/impl_1/main_control_sets_placed.rpt new file mode 100644 index 0000000..0604dc6 --- /dev/null +++ b/end_game/end_game.runs/impl_1/main_control_sets_placed.rpt @@ -0,0 +1,79 @@ +Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +------------------------------------------------------------------------------------ +| Tool Version : Vivado v.2017.1 (win64) Build 1846317 Fri Apr 14 18:55:03 MDT 2017 +| Date : Sat Nov 23 00:06:15 2019 +| Host : DESKTOP-I2AH2G3 running 64-bit major release (build 9200) +| Command : report_control_sets -verbose -file main_control_sets_placed.rpt +| Design : main +| Device : xc7a35t +------------------------------------------------------------------------------------ + +Control Set Information + +Table of Contents +----------------- +1. Summary +2. Flip-Flop Distribution +3. Detailed Control Set Information + +1. Summary +---------- + ++----------------------------------------------------------+-------+ +| Status | Count | ++----------------------------------------------------------+-------+ +| Number of unique control sets | 13 | +| Unused register locations in slices containing registers | 28 | ++----------------------------------------------------------+-------+ + + +2. Flip-Flop Distribution +------------------------- + ++--------------+-----------------------+------------------------+-----------------+--------------+ +| Clock Enable | Synchronous Set/Reset | Asynchronous Set/Reset | Total Registers | Total Slices | ++--------------+-----------------------+------------------------+-----------------+--------------+ +| No | No | No | 15 | 6 | +| No | No | Yes | 0 | 0 | +| No | Yes | No | 33 | 8 | +| Yes | No | No | 42 | 13 | +| Yes | No | Yes | 0 | 0 | +| Yes | Yes | No | 34 | 16 | ++--------------+-----------------------+------------------------+-----------------+--------------+ + + +3. Detailed Control Set Information +----------------------------------- + ++----------------+-----------------------------------------+-----------------------------------------+------------------+----------------+ +| Clock Signal | Enable Signal | Set/Reset Signal | Slice Load Count | Bel Load Count | ++----------------+-----------------------------------------+-----------------------------------------+------------------+----------------+ +| clk_out_BUFG | | | 2 | 3 | +| clk_out_BUFG | dut0/cntrl0/FSM_onehot_stage[6]_i_2_n_0 | dut0/cntrl0/FSM_onehot_stage[6]_i_1_n_0 | 2 | 4 | +| clk_out_BUFG | dut0/cntrl0/instruction | dut0/cntrl0/instruction[7]_i_1_n_0 | 2 | 7 | +| clk_out_BUFG | dut0/cntrl0/pc_jmpaddr[7]_i_2_n_0 | dut0/cntrl0/pc_jmpaddr[7]_i_1_n_0 | 4 | 7 | +| clk_out_BUFG | dut0/cntrl0/regfile_data[7]_i_1_n_0 | dut0/cntrl0/instruction[7]_i_1_n_0 | 4 | 8 | +| clk_out_BUFG | dut0/cntrl0/E[0] | reset_IBUF | 4 | 8 | +| ~clk_out_BUFG | dut0/cntrl0/registerfile_reg[2][7][0] | | 2 | 8 | +| ~clk_out_BUFG | dut0/cntrl0/registerfile_reg[0][0][0] | | 2 | 8 | +| ~clk_out_BUFG | dut0/cntrl0/registerfile_reg[1][0][0] | | 2 | 8 | +| ~clk_out_BUFG | dut0/cntrl0/registerfile_reg[3][0][0] | | 2 | 8 | +| clk_IBUF_BUFG | seven_seg0/count[1]_i_1__0_n_0 | | 5 | 10 | +| clk_IBUF_BUFG | | | 4 | 12 | +| clk_IBUF_BUFG | | reset_IBUF | 8 | 33 | ++----------------+-----------------------------------------+-----------------------------------------+------------------+----------------+ + + ++--------+-----------------------+ +| Fanout | Number of ControlSets | ++--------+-----------------------+ +| 3 | 1 | +| 4 | 1 | +| 7 | 2 | +| 8 | 6 | +| 10 | 1 | +| 12 | 1 | +| 16+ | 1 | ++--------+-----------------------+ + + diff --git a/end_game/end_game.runs/impl_1/main_drc_opted.rpt b/end_game/end_game.runs/impl_1/main_drc_opted.rpt new file mode 100644 index 0000000..30e55d1 --- /dev/null +++ b/end_game/end_game.runs/impl_1/main_drc_opted.rpt @@ -0,0 +1,49 @@ +Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +------------------------------------------------------------------------------------ +| Tool Version : Vivado v.2017.1 (win64) Build 1846317 Fri Apr 14 18:55:03 MDT 2017 +| Date : Sat Nov 23 00:06:13 2019 +| Host : DESKTOP-I2AH2G3 running 64-bit major release (build 9200) +| Command : report_drc -file main_drc_opted.rpt +| Design : main +| Device : xc7a35tcpg236-1 +| Speed File : -1 +| Design State : Synthesized +------------------------------------------------------------------------------------ + +Report DRC + +Table of Contents +----------------- +1. REPORT SUMMARY +2. REPORT DETAILS + +1. REPORT SUMMARY +----------------- + Netlist: netlist + Floorplan: design_1 + Design limits: + Ruledeck: default + Max violations: + Violations found: 1 ++----------+----------+-----------------------------------------------------+------------+ +| Rule | Severity | Description | Violations | ++----------+----------+-----------------------------------------------------+------------+ +| CFGBVS-1 | Warning | Missing CFGBVS and CONFIG_VOLTAGE Design Properties | 1 | ++----------+----------+-----------------------------------------------------+------------+ + +2. REPORT DETAILS +----------------- +CFGBVS-1#1 Warning +Missing CFGBVS and CONFIG_VOLTAGE Design Properties +Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +Related violations: + + diff --git a/end_game/end_game.runs/impl_1/main_drc_routed.pb b/end_game/end_game.runs/impl_1/main_drc_routed.pb new file mode 100644 index 0000000000000000000000000000000000000000..70698d16a043af0b5d745495ba43bfe143354a40 GIT binary patch literal 37 scmd;LGcqtV(KDRH% + Ruledeck: default + Max violations: + Violations found: 1 ++----------+----------+-----------------------------------------------------+------------+ +| Rule | Severity | Description | Violations | ++----------+----------+-----------------------------------------------------+------------+ +| CFGBVS-1 | Warning | Missing CFGBVS and CONFIG_VOLTAGE Design Properties | 1 | ++----------+----------+-----------------------------------------------------+------------+ + +2. REPORT DETAILS +----------------- +CFGBVS-1#1 Warning +Missing CFGBVS and CONFIG_VOLTAGE Design Properties +Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +Related violations: + + diff --git a/end_game/end_game.runs/impl_1/main_drc_routed.rpx b/end_game/end_game.runs/impl_1/main_drc_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..1bb28797b02214583f35befd6575152e059a0374 GIT binary patch literal 1626 zcmeHH&1=*^6i-;HB_bB3Q0nE;9;#AfcMDPq9^7oZtmtl8brnQHn!Kcg*_kjO+ufT- zkK(`O|KnBPBw5>3!L!gD<}mZ#@BKa=x$ij6>iXLH=8eu?`>DUbb^D|nPM8>@GPzdI z=-F$v{m$Kc(}?jfk#Q)6(U|zMm~Z@~@6MT9ICJN->wIzDudeg0b*ncHpa%G{Lip}l zYxCy2NOH!rt<~VT-<`aE+%SdX$qBPEax%Aa^?fgZl;sE) zkg3RXac(nL3$CO2q32oS!r!^nt1ydlgKhZG4CbQ;+vgNELj6vHYSx!*{{ynQQe|uB zAJ84^mGxkmIuV?)q_VAt9D##4MlqpRG31OT9o0e + Max violations: + Violations found: 124 ++-----------+----------+-----------------------------+------------+ +| Rule | Severity | Description | Violations | ++-----------+----------+-----------------------------+------------+ +| TIMING-17 | Warning | Non-clocked sequential cell | 124 | ++-----------+----------+-----------------------------+------------+ + +2. REPORT DETAILS +----------------- +TIMING-17#1 Warning +Non-clocked sequential cell +The clock pin clkDiv0/clk_out_reg/C is not reached by a timing clock +Related violations: + +TIMING-17#2 Warning +Non-clocked sequential cell +The clock pin clkDiv0/count_reg[0]/C is not reached by a timing clock +Related violations: + +TIMING-17#3 Warning +Non-clocked sequential cell +The clock pin clkDiv0/count_reg[10]/C is not reached by a timing clock +Related violations: + +TIMING-17#4 Warning +Non-clocked sequential cell +The clock pin clkDiv0/count_reg[11]/C is not reached by a timing clock +Related violations: + +TIMING-17#5 Warning +Non-clocked sequential cell +The clock pin clkDiv0/count_reg[12]/C is not reached by a timing clock +Related violations: + +TIMING-17#6 Warning +Non-clocked sequential cell +The clock pin clkDiv0/count_reg[13]/C is not reached by a timing clock +Related violations: + +TIMING-17#7 Warning +Non-clocked sequential cell +The clock pin clkDiv0/count_reg[14]/C is not reached by a timing clock +Related violations: + +TIMING-17#8 Warning +Non-clocked sequential cell +The clock pin clkDiv0/count_reg[15]/C is not reached by a timing clock +Related violations: + +TIMING-17#9 Warning +Non-clocked sequential cell +The clock pin clkDiv0/count_reg[16]/C is not reached by a timing clock +Related violations: + +TIMING-17#10 Warning +Non-clocked sequential cell +The clock pin clkDiv0/count_reg[17]/C is not reached by a timing clock +Related violations: + +TIMING-17#11 Warning +Non-clocked sequential cell +The clock pin clkDiv0/count_reg[18]/C is not reached by a timing clock +Related violations: + +TIMING-17#12 Warning +Non-clocked sequential cell +The clock pin clkDiv0/count_reg[19]/C is not reached by a timing clock +Related violations: + +TIMING-17#13 Warning +Non-clocked sequential cell +The clock pin clkDiv0/count_reg[1]/C is not reached by a timing clock +Related violations: + +TIMING-17#14 Warning +Non-clocked sequential cell +The clock pin clkDiv0/count_reg[20]/C is not reached by a timing clock +Related violations: + +TIMING-17#15 Warning +Non-clocked sequential cell +The clock pin clkDiv0/count_reg[21]/C is not reached by a timing clock +Related violations: + +TIMING-17#16 Warning +Non-clocked sequential cell +The clock pin clkDiv0/count_reg[22]/C is not reached by a timing clock +Related violations: + +TIMING-17#17 Warning +Non-clocked sequential cell +The clock pin clkDiv0/count_reg[23]/C is not reached by a timing clock +Related violations: + +TIMING-17#18 Warning +Non-clocked sequential cell +The clock pin clkDiv0/count_reg[24]/C is not reached by a timing clock +Related violations: + +TIMING-17#19 Warning +Non-clocked sequential cell +The clock pin clkDiv0/count_reg[25]/C is not reached by a timing clock +Related violations: + +TIMING-17#20 Warning +Non-clocked sequential cell +The clock pin clkDiv0/count_reg[26]/C is not reached by a timing clock +Related violations: + +TIMING-17#21 Warning +Non-clocked sequential cell +The clock pin clkDiv0/count_reg[27]/C is not reached by a timing clock +Related violations: + +TIMING-17#22 Warning +Non-clocked sequential cell +The clock pin clkDiv0/count_reg[28]/C is not reached by a timing clock +Related violations: + +TIMING-17#23 Warning +Non-clocked sequential cell +The clock pin clkDiv0/count_reg[29]/C is not reached by a timing clock +Related violations: + +TIMING-17#24 Warning +Non-clocked sequential cell +The clock pin clkDiv0/count_reg[2]/C is not reached by a timing clock +Related violations: + +TIMING-17#25 Warning +Non-clocked sequential cell +The clock pin clkDiv0/count_reg[30]/C is not reached by a timing clock +Related violations: + +TIMING-17#26 Warning +Non-clocked sequential cell +The clock pin clkDiv0/count_reg[31]/C is not reached by a timing clock +Related violations: + +TIMING-17#27 Warning +Non-clocked sequential cell +The clock pin clkDiv0/count_reg[32]/C is not reached by a timing clock +Related violations: + +TIMING-17#28 Warning +Non-clocked sequential cell +The clock pin clkDiv0/count_reg[3]/C is not reached by a timing clock +Related violations: + +TIMING-17#29 Warning +Non-clocked sequential cell +The clock pin clkDiv0/count_reg[4]/C is not reached by a timing clock +Related violations: + +TIMING-17#30 Warning +Non-clocked sequential cell +The clock pin clkDiv0/count_reg[5]/C is not reached by a timing clock +Related violations: + +TIMING-17#31 Warning +Non-clocked sequential cell +The clock pin clkDiv0/count_reg[6]/C is not reached by a timing clock +Related violations: + +TIMING-17#32 Warning +Non-clocked sequential cell +The clock pin clkDiv0/count_reg[7]/C is not reached by a timing clock +Related violations: + +TIMING-17#33 Warning +Non-clocked sequential cell +The clock pin clkDiv0/count_reg[8]/C is not reached by a timing clock +Related violations: + +TIMING-17#34 Warning +Non-clocked sequential cell +The clock pin clkDiv0/count_reg[9]/C is not reached by a timing clock +Related violations: + +TIMING-17#35 Warning +Non-clocked sequential cell +The clock pin dut0/cntrl0/FSM_onehot_stage_reg[0]/C is not reached by a timing clock +Related violations: + +TIMING-17#36 Warning +Non-clocked sequential cell +The clock pin dut0/cntrl0/FSM_onehot_stage_reg[1]/C is not reached by a timing clock +Related violations: + +TIMING-17#37 Warning +Non-clocked sequential cell +The clock pin dut0/cntrl0/FSM_onehot_stage_reg[2]/C is not reached by a timing clock +Related violations: + +TIMING-17#38 Warning +Non-clocked sequential cell +The clock pin dut0/cntrl0/FSM_onehot_stage_reg[6]/C is not reached by a timing clock +Related violations: + +TIMING-17#39 Warning +Non-clocked sequential cell +The clock pin dut0/cntrl0/instruction_reg[0]/C is not reached by a timing clock +Related violations: + +TIMING-17#40 Warning +Non-clocked sequential cell +The clock pin dut0/cntrl0/instruction_reg[1]/C is not reached by a timing clock +Related violations: + +TIMING-17#41 Warning +Non-clocked sequential cell +The clock pin dut0/cntrl0/instruction_reg[2]/C is not reached by a timing clock +Related violations: + +TIMING-17#42 Warning +Non-clocked sequential cell +The clock pin dut0/cntrl0/instruction_reg[3]/C is not reached by a timing clock +Related violations: + +TIMING-17#43 Warning +Non-clocked sequential cell +The clock pin dut0/cntrl0/instruction_reg[4]/C is not reached by a timing clock +Related violations: + +TIMING-17#44 Warning +Non-clocked sequential cell +The clock pin dut0/cntrl0/instruction_reg[6]/C is not reached by a timing clock +Related violations: + +TIMING-17#45 Warning +Non-clocked sequential cell +The clock pin dut0/cntrl0/instruction_reg[7]/C is not reached by a timing clock +Related violations: + +TIMING-17#46 Warning +Non-clocked sequential cell +The clock pin dut0/cntrl0/pc_jmpaddr_reg[0]/C is not reached by a timing clock +Related violations: + +TIMING-17#47 Warning +Non-clocked sequential cell +The clock pin dut0/cntrl0/pc_jmpaddr_reg[1]/C is not reached by a timing clock +Related violations: + +TIMING-17#48 Warning +Non-clocked sequential cell +The clock pin dut0/cntrl0/pc_jmpaddr_reg[2]/C is not reached by a timing clock +Related violations: + +TIMING-17#49 Warning +Non-clocked sequential cell +The clock pin dut0/cntrl0/pc_jmpaddr_reg[3]/C is not reached by a timing clock +Related violations: + +TIMING-17#50 Warning +Non-clocked sequential cell +The clock pin dut0/cntrl0/pc_jmpaddr_reg[4]/C is not reached by a timing clock +Related violations: + +TIMING-17#51 Warning +Non-clocked sequential cell +The clock pin dut0/cntrl0/pc_jmpaddr_reg[5]/C is not reached by a timing clock +Related violations: + +TIMING-17#52 Warning +Non-clocked sequential cell +The clock pin dut0/cntrl0/pc_jmpaddr_reg[6]/C is not reached by a timing clock +Related violations: + +TIMING-17#53 Warning +Non-clocked sequential cell +The clock pin dut0/cntrl0/pc_jmpaddr_reg[7]/C is not reached by a timing clock +Related violations: + +TIMING-17#54 Warning +Non-clocked sequential cell +The clock pin dut0/cntrl0/pc_jump_reg/C is not reached by a timing clock +Related violations: + +TIMING-17#55 Warning +Non-clocked sequential cell +The clock pin dut0/cntrl0/regfile_data_reg[0]/C is not reached by a timing clock +Related violations: + +TIMING-17#56 Warning +Non-clocked sequential cell +The clock pin dut0/cntrl0/regfile_data_reg[1]/C is not reached by a timing clock +Related violations: + +TIMING-17#57 Warning +Non-clocked sequential cell +The clock pin dut0/cntrl0/regfile_data_reg[2]/C is not reached by a timing clock +Related violations: + +TIMING-17#58 Warning +Non-clocked sequential cell +The clock pin dut0/cntrl0/regfile_data_reg[3]/C is not reached by a timing clock +Related violations: + +TIMING-17#59 Warning +Non-clocked sequential cell +The clock pin dut0/cntrl0/regfile_data_reg[4]/C is not reached by a timing clock +Related violations: + +TIMING-17#60 Warning +Non-clocked sequential cell +The clock pin dut0/cntrl0/regfile_data_reg[5]/C is not reached by a timing clock +Related violations: + +TIMING-17#61 Warning +Non-clocked sequential cell +The clock pin dut0/cntrl0/regfile_data_reg[6]/C is not reached by a timing clock +Related violations: + +TIMING-17#62 Warning +Non-clocked sequential cell +The clock pin dut0/cntrl0/regfile_data_reg[7]/C is not reached by a timing clock +Related violations: + +TIMING-17#63 Warning +Non-clocked sequential cell +The clock pin dut0/cntrl0/regfile_regwrite_reg/C is not reached by a timing clock +Related violations: + +TIMING-17#64 Warning +Non-clocked sequential cell +The clock pin dut0/pc0/data_reg[0]/C is not reached by a timing clock +Related violations: + +TIMING-17#65 Warning +Non-clocked sequential cell +The clock pin dut0/pc0/data_reg[1]/C is not reached by a timing clock +Related violations: + +TIMING-17#66 Warning +Non-clocked sequential cell +The clock pin dut0/pc0/data_reg[2]/C is not reached by a timing clock +Related violations: + +TIMING-17#67 Warning +Non-clocked sequential cell +The clock pin dut0/pc0/data_reg[3]/C is not reached by a timing clock +Related violations: + +TIMING-17#68 Warning +Non-clocked sequential cell +The clock pin dut0/pc0/data_reg[4]/C is not reached by a timing clock +Related violations: + +TIMING-17#69 Warning +Non-clocked sequential cell +The clock pin dut0/pc0/data_reg[5]/C is not reached by a timing clock +Related violations: + +TIMING-17#70 Warning +Non-clocked sequential cell +The clock pin dut0/pc0/data_reg[6]/C is not reached by a timing clock +Related violations: + +TIMING-17#71 Warning +Non-clocked sequential cell +The clock pin dut0/pc0/data_reg[7]/C is not reached by a timing clock +Related violations: + +TIMING-17#72 Warning +Non-clocked sequential cell +The clock pin dut0/reg0/registerfile_reg[0][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#73 Warning +Non-clocked sequential cell +The clock pin dut0/reg0/registerfile_reg[0][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#74 Warning +Non-clocked sequential cell +The clock pin dut0/reg0/registerfile_reg[0][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#75 Warning +Non-clocked sequential cell +The clock pin dut0/reg0/registerfile_reg[0][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#76 Warning +Non-clocked sequential cell +The clock pin dut0/reg0/registerfile_reg[0][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#77 Warning +Non-clocked sequential cell +The clock pin dut0/reg0/registerfile_reg[0][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#78 Warning +Non-clocked sequential cell +The clock pin dut0/reg0/registerfile_reg[0][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#79 Warning +Non-clocked sequential cell +The clock pin dut0/reg0/registerfile_reg[0][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#80 Warning +Non-clocked sequential cell +The clock pin dut0/reg0/registerfile_reg[1][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#81 Warning +Non-clocked sequential cell +The clock pin dut0/reg0/registerfile_reg[1][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#82 Warning +Non-clocked sequential cell +The clock pin dut0/reg0/registerfile_reg[1][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#83 Warning +Non-clocked sequential cell +The clock pin dut0/reg0/registerfile_reg[1][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#84 Warning +Non-clocked sequential cell +The clock pin dut0/reg0/registerfile_reg[1][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#85 Warning +Non-clocked sequential cell +The clock pin dut0/reg0/registerfile_reg[1][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#86 Warning +Non-clocked sequential cell +The clock pin dut0/reg0/registerfile_reg[1][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#87 Warning +Non-clocked sequential cell +The clock pin dut0/reg0/registerfile_reg[1][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#88 Warning +Non-clocked sequential cell +The clock pin dut0/reg0/registerfile_reg[2][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#89 Warning +Non-clocked sequential cell +The clock pin dut0/reg0/registerfile_reg[2][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#90 Warning +Non-clocked sequential cell +The clock pin dut0/reg0/registerfile_reg[2][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#91 Warning +Non-clocked sequential cell +The clock pin dut0/reg0/registerfile_reg[2][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#92 Warning +Non-clocked sequential cell +The clock pin dut0/reg0/registerfile_reg[2][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#93 Warning +Non-clocked sequential cell +The clock pin dut0/reg0/registerfile_reg[2][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#94 Warning +Non-clocked sequential cell +The clock pin dut0/reg0/registerfile_reg[2][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#95 Warning +Non-clocked sequential cell +The clock pin dut0/reg0/registerfile_reg[2][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#96 Warning +Non-clocked sequential cell +The clock pin dut0/reg0/registerfile_reg[3][0]/C is not reached by a timing clock +Related violations: + +TIMING-17#97 Warning +Non-clocked sequential cell +The clock pin dut0/reg0/registerfile_reg[3][1]/C is not reached by a timing clock +Related violations: + +TIMING-17#98 Warning +Non-clocked sequential cell +The clock pin dut0/reg0/registerfile_reg[3][2]/C is not reached by a timing clock +Related violations: + +TIMING-17#99 Warning +Non-clocked sequential cell +The clock pin dut0/reg0/registerfile_reg[3][3]/C is not reached by a timing clock +Related violations: + +TIMING-17#100 Warning +Non-clocked sequential cell +The clock pin dut0/reg0/registerfile_reg[3][4]/C is not reached by a timing clock +Related violations: + +TIMING-17#101 Warning +Non-clocked sequential cell +The clock pin dut0/reg0/registerfile_reg[3][5]/C is not reached by a timing clock +Related violations: + +TIMING-17#102 Warning +Non-clocked sequential cell +The clock pin dut0/reg0/registerfile_reg[3][6]/C is not reached by a timing clock +Related violations: + +TIMING-17#103 Warning +Non-clocked sequential cell +The clock pin dut0/reg0/registerfile_reg[3][7]/C is not reached by a timing clock +Related violations: + +TIMING-17#104 Warning +Non-clocked sequential cell +The clock pin seven_seg0/Anode_Activate_reg[0]/C is not reached by a timing clock +Related violations: + +TIMING-17#105 Warning +Non-clocked sequential cell +The clock pin seven_seg0/Anode_Activate_reg[1]/C is not reached by a timing clock +Related violations: + +TIMING-17#106 Warning +Non-clocked sequential cell +The clock pin seven_seg0/Anode_Activate_reg[2]/C is not reached by a timing clock +Related violations: + +TIMING-17#107 Warning +Non-clocked sequential cell +The clock pin seven_seg0/Anode_Activate_reg[3]/C is not reached by a timing clock +Related violations: + +TIMING-17#108 Warning +Non-clocked sequential cell +The clock pin seven_seg0/LED_BCD_reg[0]/C is not reached by a timing clock +Related violations: + +TIMING-17#109 Warning +Non-clocked sequential cell +The clock pin seven_seg0/LED_BCD_reg[1]/C is not reached by a timing clock +Related violations: + +TIMING-17#110 Warning +Non-clocked sequential cell +The clock pin seven_seg0/LED_BCD_reg[2]/C is not reached by a timing clock +Related violations: + +TIMING-17#111 Warning +Non-clocked sequential cell +The clock pin seven_seg0/LED_BCD_reg[3]/C is not reached by a timing clock +Related violations: + +TIMING-17#112 Warning +Non-clocked sequential cell +The clock pin seven_seg0/count_reg[0]/C is not reached by a timing clock +Related violations: + +TIMING-17#113 Warning +Non-clocked sequential cell +The clock pin seven_seg0/count_reg[1]/C is not reached by a timing clock +Related violations: + +TIMING-17#114 Warning +Non-clocked sequential cell +The clock pin seven_seg0/mycounter_reg[0]/C is not reached by a timing clock +Related violations: + +TIMING-17#115 Warning +Non-clocked sequential cell +The clock pin seven_seg0/mycounter_reg[10]/C is not reached by a timing clock +Related violations: + +TIMING-17#116 Warning +Non-clocked sequential cell +The clock pin seven_seg0/mycounter_reg[1]/C is not reached by a timing clock +Related violations: + +TIMING-17#117 Warning +Non-clocked sequential cell +The clock pin seven_seg0/mycounter_reg[2]/C is not reached by a timing clock +Related violations: + +TIMING-17#118 Warning +Non-clocked sequential cell +The clock pin seven_seg0/mycounter_reg[3]/C is not reached by a timing clock +Related violations: + +TIMING-17#119 Warning +Non-clocked sequential cell +The clock pin seven_seg0/mycounter_reg[4]/C is not reached by a timing clock +Related violations: + +TIMING-17#120 Warning +Non-clocked sequential cell +The clock pin seven_seg0/mycounter_reg[5]/C is not reached by a timing clock +Related violations: + +TIMING-17#121 Warning +Non-clocked sequential cell +The clock pin seven_seg0/mycounter_reg[6]/C is not reached by a timing clock +Related violations: + +TIMING-17#122 Warning +Non-clocked sequential cell +The clock pin seven_seg0/mycounter_reg[7]/C is not reached by a timing clock +Related violations: + +TIMING-17#123 Warning +Non-clocked sequential cell +The clock pin seven_seg0/mycounter_reg[8]/C is not reached by a timing clock +Related violations: + +TIMING-17#124 Warning +Non-clocked sequential cell +The clock pin seven_seg0/mycounter_reg[9]/C is not reached by a timing clock +Related violations: + + diff --git a/end_game/end_game.runs/impl_1/main_methodology_drc_routed.rpx b/end_game/end_game.runs/impl_1/main_methodology_drc_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..57b3ed362da891fbdabbc22323253584941e17ee GIT binary patch literal 32733 zcmcJY>30*?8HX*a6+}Q{AYm~Cgh{%n1zR&(>~1O8kf35h5}KqM?V%O>cNXugHEyrA=4aZiyT#v*M^oFaRhwr^wR&;3+MaGy z8Z(WlBgIOyTx>Sx+tteU=G@_R7sY?BnLaw#cew9Zr0;m-=!r<*$>`wZrGFzaK3y#z zY$e?7zsg@aG7ueHa#yKYuhpl9t~#)1a?ie-x21B)4f`7PZRMFp`Czq@XjLDWuh!eO z(oCXUotd$g{r{iani}ofIdNdRnsENv#9XZ|{@1~Y+M$tQ@!v&pykfIDH9VfEwG#D4 zJJGC`%F|-<-Xn=pqFtL6zpVOK^F~%WvGwt_OE(Vm4@SllKa3=o^&H}FlDD79YYE<6 z0lba*xQ^bXt*DnUsdEr@+7LCgL#SV&)M-V%lu11bQD+QMLpy}}WlEh<)K@X7#~|vg zA!=xcP=AY3XAA$3cdqzisF(M|$q0mJLV7JkneHG~cA5bSSI z>`{fioQo~nEF62x5H_?!uwSCsV}-xVC;194w(PUemV^Q$Z)S!h>Gw&Jrqn}yHJ2Hx zGs{quVmsuaevv%XV!*kcUBktO^31{>>(EyUwnMOApxExCJ;23=`pklAG9Qi&?GWte zDYm5^?Uh_?D9|h@Cjo3|hhTr5V%rLP6&D*SGz;oU02|sN*k7aAX@$L-iwz~31qCI5 z4eb!@=O}hYVPDI{wxC3_prQn@p&f#Ko?^RYdL0)VN;C^9N&p+$A=u|Awp*raxY$sl zSx`{|*w7BaK1;FPGF{8XhTcN6prQn@p&f#KhGM&Ax{ix2D=joBq1$bEnL;z9Out9U z)V*o1=Q2ZyW*aI~Y==D5r^!Pt2Anb-ZfGc-e(zeAYQ zig^>0*_Lq@$_%AsI5RXun7>V!Gm3dLmsyrsC^OWN;mptsVSa}&XBBgT%M5*06N<=i zW@v^mzfG8Pig^o{8G73cD#&nVXofJqMVLnw^AMLA3Nk1k!yrKzg?xB~bE{428BiQO3A+Wpe@ zi~Y&90S9#C+`yG1vrQKRi?}PYO60r{BqybwIX80U$hgzRz*6sutP(lT2g$LNocD3% z$OP2IfNppBE3!)DoDY(dEyU$D=lxtcvK4O)zUI{Gt#)(1+^#k18kZZ>gPm9+zNveq zd8R+P%6lxw#s`=-(y}5o4v$@5Ob-$pPy5(NsprNAxi(~9Y8)QBpqL&cHlFgaVJRC) zt_@k98i$8|Gnh9$NNhamW5ZT9KE$;ln^fcQ&~FoUY(Rs=#uGj^(#pn%xi)02YS_T; zE~W>GjmLd#xc8K8TpO}uH4YE`HcRKZ0SyuxkNMch6_&_5>~^jV8Ls<+@33>_;{CI8 zrAno#af3Cy*NJV8U*EmIKGL6D={c06VwkH!W-5Mk?CxrKkEnRmt0JYI6(d{~GD`8I zW4Bhrdql;aY535p*byRRDFBPt&9s<4#`i>V?b`x8GpbPvoM-XkiGc~zv9 z3Y)7!#wUJs=q*_d70@10aWUe#Y-W^-G*^WzPh15S7l!wUig&##vPwmUt3qZcesm}V zG@cdE9#L_@t0GtUr~EKwma9TG=Pj4-iuu_&^n03&Es^hQI^LgLCR@Ti$O;)$IWD-& z%l*ON;$6}EYBSYhrPMBIB$e^SPHanjb2qBa^(R;R9Ly0i$`v9DQ|I_tSQ%d=LeBaL zNfjI+V_YG!Gj)!S<(2V8BIJyp5K9U92v>-#O`YRok!5_52s!O1#8yH+$`vA;Q|I_t zY8hW7LQeS!Nh={AV+zU2^3*v#6i9jFi$ustKOq?<k7bwfMIz)`KOtEq zBLQePz$rT1jKxhTGBiVwf7gqgRmeAUk$pZl=|zTOW8r7Z7CA$Zf7^?kE3A^Oatjw(#+zSQ zhyoZH7JsR=+SR7>wu$&Z;zMQN=gQ1ac49Zghq|ruP&B#5|8S0#JzOh61s7-qyNzpE zfhLKSV*yrD>ba8VT9FN>g@E1Z%})|57yJF6E0(hIDXtZnd0Gfq449uJR^AP;Vk;|q zxmIKeY9T;3`n>r`V&y`Bm9(-l$+aS5Q40ZT0X-|wB(d_}04o_~Wgpjy>`5&vP%h|M zfhLKS{{&dcDl4~gt;pomvVw()`AK5s-vL(KUfIvIGAgSSM@X6P6?BqVdCc$5>?}FG zavRr*3|B2HP+Vxdf&>dRNvs?Xu;TX0?OZE*Z7UE=_X;{mtb8@VirXu9aINUItw1o{ zE9fM#@@Rk+w^t5ut?0F_Krr1a=p?c7l>jSluY8(oMXzlI0u&c|*A-}zSov~*6}MOJ zn`=d{Z7uV?f=&`E z&-z($mYiPs4A+WY+lpTpiVKZb5IRY$oCvVu_R43OR>t(&Rv?(}6?BqVc_zS$+bj2Q zt?0F_Krr1a=p?c7bbuANS3bwJqSv+p!E~>nlf=qX0an~zDR8amwXHya;zIAb0!@vVdgw>q(n@xktuXhsG`w2DgZSw-yMnlz;|Xfb3K3 z(AX8h;1&_^<^lnB;a~C^Fvk`kOLW2aK=)h&F8!f>*pI_DwnTpFQzeJynRx->o*;=&~sH244ARk2sHeRp%%37+vecHpMq~ul~P@CI=Rt zC?++u*cxO)PJ$Z1KR(m!9Qm0FKZ+(-QW4@z&J&`|HX*YSeF(AFgV8neg!r}R3E{pj z=Ghu#C!z<(?ubU$h=yNzG+64{aEPrzh9P=z?7nDpjcE9#M}w_2Jjm7{^AJ5a_I94p zHKO4c9t~-w;V@T&^XLDd2glyNGrC4J{M@4!70 zj%U_s+Ahsq3b%MQ^HPiE3^%6>PSPCu8Dc3d_dkRg$uJ1tigxq}E$Q36a+AbupEL={ zvA2<~X_~Inlgv( zUlF?`6HIwrcH7HEIcRs+ZxSrJzq7Q04omX56l4QvbkH(EqNMG+##5&(;_u!nuV6BZ zMf-Yy-L+r;d-ciH*MD!1=e>7OO9KQH000080LB1!PkW5+Zm?Yd06|{>00;m80BvDu zZZ2hHX1uy{bT3`AE}E>^Sh158+qTUW+vbXG+qRwjV%xTD+c@j}-hJ=6`=0&x=~1I+ zRXz1o&FFmCWMDAScU`;Gwzi!gL;jkoslD5sA;=1F zS#m~pbS(OF)*#$GumcJzs72LK{1Q)^w4ba*`1SrMh3rxG^LnDKb)XQRfNpD&X*%*b zO}%DW>`UZEqCFiHAeQc&*wOmfFG8PVo_m_UrQ_v(wEZ@J_)hn=x$Nd@&(f;XolVMQE z3pVJhdivVQl+qDBIn|Qlfh}!|t+_yO+Y>G5{~ozhG8_*l0iVu$ry*^*B(;EDPL zL$;6W@?K;|JET_`5v$Zu9`6;>TFlz4)F^|jM zd{2&S<9uFLXZTgM*JT6WMSH-0Wx`7#%ty`IL`-on(b!gz7~K0lpx3N}o069{RmA(n z+~LZyB4$s?6;E`n%-Ins@XPHDFm--AzP$g`wt6S>rVAW*|9qYR5qMw~(70}rK0SS_Tm61T7}8lFfgx+40Qae0xxH5Rld9uBn{S@4 zVJ&?&dFMI=Zx7z?G6n(}-jxjlerR#{({~WhjK)JgTC_YMZV5|*Wt%&`rz?gSTRH-e zKL06Y3GR^=IjBG2117LWj$EKCMl4!*qsRBh)ru26#MVN%6P(yST|)iomqnh%CnrKT z`EvR3Je{+hW^eBqf&czq@zw_^B?BWFQATFlQ~!yqhX1;kCRj(D2j0r>c>4D&0=*)K_gy~+_ zLBPYhIU2#JhrLO`Cfc6urm2RR?FG{(+UD`xt!f1cq9-B%&*v(K zzH?Eut?xijV^9>exW`#Z+DVC>`^z)_b;mFlGUaem#Sxm4X*bZ!N)b#`IYAf@%tQylnph^AC8@zYod&&RV? zz{8sWdZI}6 zxzqWj|0+5#`qu@Tw@4*wpBX2j2J0jmVY(!#9fCe8!18a^RaRqyeT7mAl%| zw9SZLPBso{eE(Abjv?EDuQ>b#1jmuh$Sx6wC*Me&vrsf)yy0J0)@H0>_(3X!1N4C! z5yz5u?EEGi2X0*Elwal#4(+(itG}LgI1Zk;%&mVsL~QQ@aO+Sk1ZW7gWP>2AQRG7_yO+>?!hWX1N=pFjm^=0AyuP5D|@Cu1iD8U3%NFzYhPjA)aQGI9UmXRCf3 zv)7$9BMQUhjrBpO^@Afc@6}Ei&}vM$7~NVR?VZ`Op+yLE;G4eKIMCKDFu|+p{jnI} zoaWZ@nJhz!;6Ar|WGNA?yx|Oay~xC>>o~`Ac=$Uuo#UcVmXJQs@oZ`;CUkh@Y|(!z z(dxxqo}Yofrx{%=QeeLKsYePmZthI}$R_g#4F2v6}0~fgQ?$%}i$s!eAP3 zbZA9zWHr-~2{(`lm!1OfO9cqrJFp`DVKvj4`n!g#Q@pfCRvmvi=zmzZIx?do1jev2 zit_!U0CLhR=_xf~ryBS5EDY+FI@P4b7L(%(1Hx5qZt1DTm*&Z6AleyE87{mrlQQus z&-h;xI^R@vXWjRDN4nf|16gA8o1)+xBBSqnyd*!SItCrkFJ!8?{P@{)7n zqhuk3PeF(r2jt%i%)UwwzDm#j57HKX?<8`39zL~KlXJGYqq{4|a3=3Hq*40NUj_hN6I;>C3$8IQ zG<{wk+oDuPWC0wmu`GB^QP?_Oo=RXTiNKJK6;dPP2RfU!B=4H{CVXVrT1oJgeny}oSbkIxd2A5*QQxmx*c(M~_GI9&Q@&^%b=^F=h@-+=_aj8s zIFrP9lh_b=#}$llp%6w86ABO$RFDo#MY4d z2QmME=soe=blW0q>doU^%|SnfUl7`Zb^K`oIusB*U{C^oW|VN?5;@3=a>IcE)kdil zS>WMKLgqwpoU86I<~%3izw*kT^AK;H&-!~2UFL5jkdG1Oj(5i<42;c@?>q;q-(Qcz&Gpi1_{^vWFMGDW>a zF`!xwHGyDaB-pbk(_XTNz-Y zJ^L|Nn8y1V>C|cQRbk<6{_GFZE}emgA+)JjY_|A82h|Db=>$N2|=s{O#CjP3Rady;Ruto5)EACVnyKl>A%wr$3xuBa}e znpE5I@i4yv6+L6SeWh?^&?KIg_1U3rH9TU`1-&Aq)l&gfH$pkPpNU85O>1*K{#|sJR83FU$U9DI^~*L)*oV9kJx2@t#%Cc4h+f8 zF`L7LVNFE3Huv2FDUt5G;R%(R=cZYwvR@uQ3%Iwm1~AkIF(ijl!=n#le5-UYtP3n1 z#GamH+&WrQ8S2v+5;GZ4dB*XlH9AjLM5o2r>)EQu_9sQ6;*_KEjH1d;G`>z2&JH_R z-D!v7I*nEFY-w#GD{Wv&Y^6oE?}oiq=ujJH=Ov>rs)p}e|9FAacL7Uo^Ox~bp_n~D z!>Q(%ooIgfPM)F0vbqaS$93*&;@MW)@-MORpJJE(g>J^r7K2#b8Rz3Vm$m;pq&IdZ z;>zkyv>ewtuKVAinUS+YYgTvU^|;M#e(x!YWj5I*w&*#w>U`T*5QK^pxz&?bS25=t z@Cli(pp5r2SB1AB^EYRPFw!>%(hM*0ZGDsadGON(ySMVUY9icrh4HiWMx}FYK};L9 zeQ;!(*gm+%{Ughb%qA3FXtoO6=DH#4SUYURmd7>w49krMO?>IY7gDXp(OgZ-6Evu{ zBAh*=lPs18cqn%|$8^L~mOw64 zF9DG-#xaXfSIRdnDNGq@%mu`U-w&<%jOm4pIzk&DNM6+yk))LqrG!^yCx4ubnca*{ z;Oyl@a$u+nD5wDzp&oyUhL|!&>LtM~$HEV-6O8Fo^)~+rp`s~}pgCVed=`F)wWOJ8 zKzqR2%MRyV*2p!dsm0pcBFLv(s2b=){WpoFUvXoP<^QYdEg(MsoyZdM^7OZ5B zX7$v?-CA~Bb4vxZWB;`Or^iE?FipRA|G0Z>(Y9GnNxcz4`KQ-?e7yHO^XX9kAZvLc zUHjmc0oiu9tz$o_xo`F2X%m}xAu*j!eWw=%i%!$H7t<;QDPp&q zeJO3e6?P^cVEMaz<>D&@;x+M4`z}W;3HG7w!{n3I0N#d_SS(Lgc-1}=LWZ~XOYiDY zOSz|~KTdlh1gXS}M4u6tdPv@|As|ZKpYZI~=H}=0;|Y5 zs_+tvB2D=M%u=WA%lLQelkxr0FXmid2xtoVSnx3m;ISKiH|RtukVQ*i$u=V!;@PYP z+EeU{J&Jx!)aPKwLas((_ux(;Mz_wr@z`khlH}59eof|?T5!0zTy^VQ87#9d@W~>9 z^F}{bv)m{avx1}4>fgt(Y$dy_%Pq@*{cn)O!vHL`|7k~v-q_G}?J`T#cAXtA3K zmQOl??JhR|px3~UIk~;pB)%*Q-UP`Aj7>pvn%Fs)T?r-P^NMQJB_eS|NTaC{4t### zsc!mudVP0yaQ|gj#`5*g9k)cW#7C>s9SWaUc*2qsjI1VE!R@#sdshs=)H_^sf7(8N z06{@GUjbzkA>qK|?fukz6`KdPL@2v!^(|t_Tlf9Z2uDtnOoAJacaRAnE?njOwO-X? zS@QM4fEiWsQB3<;%-;Q3wB6OTJy5hguy9TMb?5egA(rNr_!URku-1HG>d^eT06tG~ zl%D39pRO1Ozj=p-9YR!Cf;Wwt@`Bf895rK_s{9rU178r$xJ;`D^V_mWdGZ}2* zyQiMl!wP*;Aiqq$0UcyrK<|aJhZUrrcVmrG9kb_JId0w)KD1tZtHp-Sgk>S}tI0g@ z9L-QvX|pk>OWi}@&1Up~L$-KZvs+i^6Hi-5D@fsbk8y%KV@P+d`8bgphWnfBdwbOD z<7K5SC$ePp!2W6Z;r-8sJHAfPXT<&Mx!f1l;I>N5*w>h@6Y7?h4y;Ww?;(D&C*Ia@ z6&rSDRl;xo-RGhw3d4%5uj}Nm%or5)AXf~M+fPSk%;svot&g|cqu*1{Wae2N(h0LK zQWc+<=LZa54{vwZv;97-t=}0*TLGE~)0LODWIJ>^32IOZWC zJN+Ua-hfo@_&q6w-J=N`W(Kbr8GaI%AZbXQpFJ7-Ic-w9#-$PA@*Lx1l5l^rIlT4$ zINjE=<|Mpf-PnGY$iHfeFI_Z#uQqyq&)g>7xL)Td@qxU2Cr}iTbmz9el-Mp?66ndO zIiYy5RMuw~Kj_r#Qa>xaak*qYJIsHIak0!$?ijgrykZpq zr*2bA2#)WCGH;|?&DfrC-M%V!3ouL4OJr|4wn-b&9uN57)JiBZNpO9sWoW%{?#|`-86ips0W?yID?ra6Ow|xHPjXDrNiq3qlS7^hg3HC`0T~Wo_dJVIA_&Oo z45$9dzN5?-*S&m;QxH(~ON`x5>k?_QDQ;;0bbPI8WAIA7k+O{FmwNl;1IDC=brTiK zx*S(@NzCaA%lbq*kKOC7XoD=CSWdkD9goM(c|WzjdLE$Z+=^ifyPaO&TehB4W*kSMU}H-%cy0@r5oq*z52LL6 z#tiPR)_LRcWCX*kyr^~SFs zulai0Se zwJl|3Y)^P`-y5=8H$|szPUQemGIN8F{&`aMhnXoP`w-I@0O&Qm4tRd3!Rm zsSP(xH5HWPMMq-VY55$m!XuS^)UeL$QD6o5_FDWF$I%F!`xR* z_d<>w5ZTgHv95E*aWA>HY0NI*Ok#%kJ$8 z_{vK#O5a9niud$(TM2dwSXv2Icn>3{h3KuO0@q=gpt!( zScj*CGa{suMMEmHen%V3GlW5F64d9KsU?ZaumCb%1S+rSbp~0oUz$i=!w86~3#C5$ z)k1-TKR6X6eY0?#jI4=>i1r|3WZ&PVu^H7v|HgmUV|ZA+l#3Fqbj{JzeP~C)>P@k z5R&p@N=_0AZgc65qzjI|vX^zbNYsFy9}IO~b->`}nDXv;;pV?)R^CYL8-1?=JKqq9w|VTof#eT`Vk~_A%l~2DiOt4JBfAtEvR zY8wpj(!q0Ac@tkILw2TUCLzkx^E6c13;jy+F=%-eSmQwj6}&OY+)CK73eO_**n zjBr4KI2ZpKLm{r%nwvP6U&H<6MLGJ)k{C`udHF}gf>iKv)xi2%%Jzttma69T;3bD~ zHn!ljM5|7^a=q~uHDj`j?>K>~SM%sv)YA?ok=QgMhiCA}Et4>OE};V`oW0OVqk>({ z>{mFO!<1s~pe9EJAV+!Xw5t6~rHZ%O+@2TXLsXDwzrUV#82WSn{bpHmI35 z`f@(1;D!_WK0^V!g?3{3x6YuGt9y-e;knMjsAp<^A)LE;L);6= z5C_~lfe?{yJ;@=XZmB=GKB?d3m@%BCQ053)3wiE^mUDcQVk6-xOMaid}{pNS517v<)5K3T}C@ z4jtbPZUMCk9p4EqMX9z@mLuypE){=YBc2icElgSyI?)7p{Dp!5*h%xcAf5d1EI-^r zpKwO$!C<(bBsubsilmw7oZFx#Ze0Rl+crwSm&tmt7lBhgh$eZI2arxL`${uGAC~Zx z5+}(K34vHx$S3E$=(XIj`1qxTj+>2FZc{oPXvld)bxFoIr9O7Ww+~K$0Dm_2BDS+* z7^p%BUEJw~YU9J!!2}XI2hj`DBI#rtHpxH2{(gjw9CmZj(wiRqtu^8K-s!AZha9;Y z;6_P54Td4+@AjnE1r2Lo3EEz(iRzr{U)7`|W#eBKXmAw5kUXTwW~K09_TDuvf+C}x z`D0$>_R(d{#Y9L;~lm>a&Z=4G0JVK`4XObN4rbr%hVwXbd#|o$5C` zLIAwO{j>{^KoTMfLhmDCDGa2%AP}DasgN%WWO1OLE96Xw9xXu&vcDl=q@b4F?7Dw_ za}d|Q5JL+hx|U;8?uC^=0l=8{A87f=oR~t)JEC|sH?KQz!cJ-gr!J6M%sD^9(Sp9+ zD^U%uS(JPY5J2o5Ht8~vVeLg0pJcY()6=Wt=FbF@iP@iKpP3fI^b=gw^E8`NuSE$0 zXYo!|dAWAiS=0QO)SJ|0Q#pXUs%Z>l#>TjIbrAQmT%#Y-E}qK&VGx&KGx;{kag#gv zQe~(@dqcf3$uzswG}Z&$Ia{E}bYp`l*<cRv3 z(~Ncz-hviF+&SUJ!wpM23?La{2)}d$!9+fKyeM6s!~^ottdtsN zrxy0mS)QAQv{OIPc#j!$aobZ|8W0s93A*4bQt@uqESzG5qAC@?wzkvQ9l1=D1g_o> ztOWMn35WU!c^ye;>_1mZA0?&d(oaamPNE*a?8;&}I_*dc+qw=y7m(xfk$7A}<-;M9 zLw!XLt`OBJhycPyX2M7CaVbO&Qo_-qpe~_06#1MOpZMUplHC8}9taa7$G?BYtg|D3 zd3X1Xgjn9m;B<|&##m==?zByEn)`u4=fpN$Ew!5?vrnN7?tZ;XBpORGQaG5P6fv;E zSbd6mWQQ>I@7jses*b-N&yJqh+5hQoYkOs<%cec<_R-Or2FR&aR8afGpI)4t;1^78 z?`~esHF14#e!uB=;biH2#cys+bZ=DGe`tE=tc%7PkBT#6E#WQW;cZQ*7W5x}9G#tS zd@HAoib7PoZ1+=3ZDp+X&Ys-FtPf6pBuFhF3JV3NKKz(9O2dhQ-x~KJVIq@c8b3hW zL;6&8pyUxo7SbiA!zmJM)p4f0%KZ3J@A#jH+QxaL%+K5Rc3S0z25!!O%7MU$ox@6{e z>fwGfqyvfc)A_%^;qj?`^z`tF?x!$+AP&^rz7!48VZJDJ0MFcv6L<#=*HC+l4CY{y z`2AQ9F9o9<@gD%>(!it-`{&j2FM#110pCz#jQj7_@e>M|VZo4&`1b%}HP96CU?@2m zH;L+d4J0#$Mq65ClqC1q6T#KR#OP8MAKsCFc51Di9L}M44t!MOe$xf)f445Dlx%8g z?Ooo?MUSTRGOW@iK#daLFiKD*5RVrMG3GfPoxKsZd#NSa+j4614W3N5g*CoB8a8ZR zYt#-GNkiKfEt|~e;&@9RT4{N3X7e<^f1|4*f})B0fr;svLBWFh!71(p{<7PXuc6MP zQj;32C$`e?U8r}C51$+7ut_!`wO*X?gNByx*zhM~yBL8(X@%3UX-h9&f+%l`FJ{J1 zZSvH^dh%1UJ;7w6sxg#@{gHl_9L%HNyn;;FbPx(hQ|Cw0Bp3>ZQ)et?HHxhHYXA+W zPFc!o5SdFPG>Y}g>4MUsKy;`|WI_YO9;wElzbPvb;AB|GF`?nzPPJs+TrrzYuw-p9 z30j3YlP;mbBw|@?J_%ceS+~szfc0-OqY`9--Xp4ujz|wTLD0S4>#sa%&92e^x^6x+ zV7Y#gKsBtkmk>HWQ#3=$yOFd;(DI09Q`_2_OsTjoh-j_>_{foVN5lUqscm`na=h{K z_OU}be!Vc$d7<;8c3S}^Ve}!Ts?j+>?uHd*P5t=AT9+*EZMVRnfd)5Teudal8Cjb%c`bx4<|nCn+waH z*t;)pQkpnQV_cu2Ca;u2ORU~(zNk!*T`!X>?+UKu8azowek~tk`sa;JZdQjV_3Sh| zmlziM0#WOyw!H9lAWs9rN;WeYQX&TYbrwlNx-#W{$m&v5Zs)k_}v_s%%$eZG`X%uY4yFtY4 zv9Mss4VbMhAHD1K^K~gSl34c zSnScE+q$#+FAi2mXaJ}!D%cd}S&4ML1k#T}C|2_y66q&l%pMNDDa@&a;})CS*QAr) z91+a?@hVXLBr?tx=|-0650?L&h2u$ou}rE0?$*!Qx{Yh5x1{Um0m})3wTmCmo*VK+ zs{i&+wO%J` zax&JutZj1eKLNm26`DoMB1m$0Gvtx@F zgrc)LgH#M>rlGT@VRLPDxSEMH=u2Snr@c60yc{Bu;D}{qP+UcxTtyCpD#was2Y}`G ztTk>i{T-$z-^c1meU{RdNBJvPdl7+Y1u18_c5K`R9jc@2=@m-B<2`4baIVzpjZF`Q zmI-IR(&6XS@z+n!q^wR)7f!^xFkC#+(%GGm*{YajpEZD~Lz}ldbypK+eoXVLn61il zW29CR{k^4|`cr+yKF97LHkg=*Z23t3=7j2U#Jh6ZAf=A$=T#LJt_~e9bxrbi1bUaJ zhLOwo;PRlw?efRhh}g+$2B3Kz5BX>?g&31e=ZkJyZrgWSv_4vKvG{tvY?@+vY|BVBCNP`<28?g>2e+*a6ZdF|^*R5} zDH8}IMrqr-$qr%F9oz09mfRgukO%YwPm!)G60`Fce0Ct?X@N9+;lkN(`$P?RS?m{2 zVAyk*_}hXP|7b|i-NTjvE>KBrv9geh`5*e7x)4GzP7S@iDtEKdx}Fkp5G+@QU{LYt z4YD#=B9ro0HDX}m%lZRoML1(YB$M5QGb&{*DnF(oo*oVi=K4dw_dwvXdJ8OZXi1;o zwD(~dSJ)h*3dRn)@+>pWil5-Jste}dgQu9~(l?kK&F?EakE5z}fUUqWM}Ec;m%QrU z(wP&)WM(eK6$J#5gVozJ;?&-6@@eU%tZ2L`u&i!1oVvhC~7aK+k^?h{Y-$BJgVxdE5!%{X)H z*58`2bEf3!80~fYnWujqGRJnfE5j3&)mLCjY_Spr(H=IuwLTVzu{1T3S%fz=M>dO| zr`~Z|vIEE6(aYbKEVh&&U`U5!ddU-LzCXc}K_wG1N1q^9;~Lk`pnqo&JsdB+d`qi6 z3{sRV*X)z9=Mz&&9#ub8zEb#QV3a2zH}>Oon}Q+_9t$yIpuM&i%p>;* z96j{PIo#1H9LMI+`Qz@efXgI!PMrW&T?+WT zTj_3(u^+kDIP6B6diJ7>#D5%Ff15_3jauRAQ;l33job$k#&b)BSX+fSq#?gt+(w*A zk(Nr4FA+5emkZXyZ_L>5?3&?5qDr;3QuXp3qf~ndTXl6^uIju;lbGG4LffR`J6o8y zY}F!V^~{S+bDvT3ega&Zq4W_e!=vCA9lbCzWTyXYy$BmV*4WaLT z6D^#JE7lzx>F3W}dSeOV@*`5~?#LRP=Rl5XQy6a@0zQP1X{m!_)Vq7ESGRzVj%(g4 zcRmGL1iJp_i(*a;TiiBOI?$=)?HecF!~r)V$)XL z0~yV!dOF8-<`uM=^0_7i7Z$TjAv;ytf^}$7O;igHyk6Qh9{s7hFc;-g#1Z=_hS#pC z`s5PkoGkZj$Z;qwd;DWW=Yz1z#7QXiTiV7Ze@qt;DeFA2S$=(NGpm4VKkG@DW6t6F zmcrk#Vt!CtWt?YW`HB66sOQ;|yC9@*K%}8Pp!gUsz5@6?zL2mlJ%tFV7a*y`KN39k z#vr60fu)iBD0t~FL5kmjio<&TehJ&Sm9a*EZieXLuQ%w z4}~xg3Ox(O)*+q^Bff#P^P5=F#$sl`7!4i$NULl8@8;?gvLcgQIhCj!y=ru(8aDT7 z@4<{x{tk6@o7x$u56nrJ3|dZLO?!MEBov&~K>wVpnx4MCt%aC$d-EJchvS?i8AqWM z@+l);@<6{LTJqjjUz*(&(?ur#n7kco+Ic9RI2Sgwg-|YQ;zDrvn!FNrdUWvd!^FHw zH*n4N+FA3_nTu&ROnEWX|4;IZ!2o_xeVY%*6|jq{z~&3|w<;tzD#n${X*!kAdvmYR zjE(Gr`m2o9-(U=}uxy~^5J(Hb{7yXnYlx-1Qu5e87FVJE)^(ToD+1P#17^{zhLZcA z%6sY~b!_K^+N*_ayAJ+o>a28xC*(+JdX~bZD@1WnO_E0bG(Xey&i|T%e@#Ure`#*% zn^F309+&g;W*${vy~GiZUh!dLcPIc_(A&n8x^ZAw9Yqq>E>^~rI9_d$4uyf>rV?hD zQ3IUnxYZTQYs86j;7r zm}j)__X?%?%%k*7L61?;mMP_`?I;56%&zUAmMJ~8;|y!GKP$C|Qp5Gky7bIy{t5wV zVI!`Dn!O+9S>Pu;eI`Bq2R!|SF43f0cqWX^rQ-gjqDFfnY&*B;dXe4&5S7)snlZYX zGK#Az$r}uz{2J98RT(^j=*%`fwk-1a7iDdLc`pUyVSS@iP_vZrC1Xo2)y(0>CQk(- z4>qQWV& z7T;?u!}cM9N$O;CJ*Fr|v!Ke*9HO61n#Ew*rwfc(QzPxsX7E)oKAKX7?aF!WPT>=d zwrQfKOf}W`;h%}hWt(plq<~f%gZqs0;S*}I#uwTKBP==JNl@jz2uzoEe*zm_f(Qhb zTm7NULW0l?l##vh^cRPr&2WNHO{9^%iu4zYp-nr2P;B^-z4P=JERGftRkO@}w05Mu zjPw_ip-t|;EC})T2IWK7%b$_!jc}~0EaiAw)faeLlJ;;=hevZFivhM#@k;Xtfl-;B zWLP96`J)tU4tJf#iJ9@FC2J$2E)fb2bCq^~IPwIi+w-NcGaR7PGfjSxZO@8~rrEK% zNNMr_w?7CpPT4+7^=He+DSD&Q!Ta`*jk=3Nq~^9SoD_}16XZh>?12A( zC3wLTsJL{8|NZ-w;Fxc5BZToMLilZP#pSBF=92;*bKDE@ou8 zY$$3#d+E!-3X&PRKY<{k8Kge~a3*5u)7jpb2!6nUArKjOuAV$GY|k)376!4K2M|`kj-k9PY`9h{kQyx1dr!6l zIThm#7unVhIMXnjrqAw+#zk=U$*6=#B23Y#ALTi>mM9x`NJV0-+&5ve3?OFNq-=F0Wsq72Lq2F0>?oFu7@O*<`2DD zCKBeFE#G_&!gPR?8KCiE%cF#Z2In;Q0iv^hRNlmsa5n@&MBhMDan}Z>SnsNv%9&T* z_>**4z|^q!VOQSZ?1wmGsC9@kdz)eNec;p6?o9oU8)yv7Z2x-$ZA(}Gpx^pKhN+Oa zgdJyQ%mIlY#b)iHmVX9SOU&9UW6f`m84~S%TQ1&kJYFP?sbB@YMk&|3=#hIGHlzSa zM290MrY&p#TXs0%!p$T#9(H6lC8s2yvdIxN1bzi%W#byRyT!|u|d@kDkuDP2{6Y!&%KAi35+ZzcFX zm+s)wz7XT-2$lSC1gpuKE@Fdu(O#=5s;i$N@;SCpI`Pt7GQbfj(2wUXCdxG}>C1!& zH6j<;79R$@rm9K+0$ID_|QDwJzPB<9w#aO&>LOeCBN#RMAEY0dU?4v;|%MTGgT;` zX^y;V=GM~ks(wGAu+xf3aAn4pBg=Zuq4oK6TrE<#?j0>Z(Z*^TImN-O6`6XPscKQk zQ-7VTDsK!Xn>UA>W<_OOMVNRB*enoY;@sfjG!`v!j*-onJ>4w$GkLPHS#Upb(((5^ zdGfJYaGo~%=#1vK*@o1+xfz;!esi+9tKj6iaCM`Hi?@M`SK1HK-oL-FYwsK~T+_er zv!(A-GupDDeN@;6AGbw9q#PoCiHx*F*r!e8&na9hB`k|eWXcs9EWzlh^@9uMeRe&! z8;4Itr^LpwNay%ge7*mz{}g9?GXC~J^Y~=<{ekq$!RPt?Z&}PCdy?!RF;DHq!JpK^d*&xXMz~Wn^Jy+G8eM;61D0D~XRyv&Pcn;v2g{ zc_ne&WYJCFb=r@vKo`q`(zfK*mgdz>fw|-vkg59iQXh7( zOpGSvCTVudi94uEUgzL~EptrMpM?rg(~F*ZTVngvGYTaX+i616xw5z z4D;b)Pu02826@_M$OZ7q0=S3*$ff8UznFZGV3NkHVsFpljax3LZ`9jEvWml5pmSeVW5;PL90jF|g33DSlow zxa!iFSXYYJb@mToveO<`p!C3jOdUzz5fvYmBQCEL+{ z2bjxh)-7sJG8dtUV^^P$(3I{d>(ZU*V6dP+@qs+V>Y>w}$duy~6>xO_%DL$`UZHCQ zu9w9#y9{^y5g=Zp3sN#yzsxw>VMHurW41JoH5Q< z@GSV(`EXgYk(`@YK4quBr9(c-D*u+|JdiW~TlzoI64?KmTK}R6rZcCpd`V7s|H+A( zl}PBL_}5?l6XV~ong1#Y`)|p$f6asc%E|p-No;3MZ4>#bs_njF%$Q}2GiqvIreE)U zSm-Risyj+w|2`m}j7RuOXm>SfMuRrcAH^fKWM(SK9JZB+-GEB4lvZ!gPVoRvM>DZ8{6)3s^9o#yXYW{DZ;%oBau z^lkAdWIQ>j_|{n93PELHtt294uq$U=*4;JC{Y1qY zg-z$9skJy8^iWQdPpsDZf*R4W=2}{4>{lChVIm^(?Qb>q>diec(9mV}_nHTc=S>)? zX=$URrsJjDz%-cZeRaX0UzBtI?+hc#xyJL0_Nl4CE7$dd65Oz+W0nv}{x&NFp&;vy zV`_i2Fzw)Gz;RX;GU0Vk{bz z7%7vgzSoI8=RlUoRYyh?-$lo=hraz-BFJPpM?a_lw$fJq(^NoRk4s!a3nze`sx9A`a#?z0B~*O_ za4td9ZgOJ##I|joIB#s*wr$(aiEZ0Bv2EMd&Hq*X_uj9nw`!-iXL`D8wsvN^=h(HV)M(awJv2}%`V zoEC~hTS=U)bBDuDh%p-(l}*fK7iOH?Eqqtr?kUwyZ#@T3Z={jS{q5nI)e&E?e|69% zk^J?z67JsaPd9H-Wyd$lNz%u))X`Z}c<^RpWHF)c4uiAto4D}8oQ<3g6!R&Av8i1g zaxTUp^U0O*si?83pSxxY3E5Ke?fhCd2X3f`0*fielIgNo{9W8mUe0Fs2P;ty1am1x zKRgWnE?zG;d%Nd@xcI;6rrL}FlS5azF>AQ7YhB;IMm!G75sYeKA}ot;Qf%2oB=Q-C z0jD!lwHV|xzS?TF%aIheVk|OS)xuewBIy{$fzX(1;BaS;iS2S%{>R%0AxdRR&p2Z; z>jTmvv!n_1*%e7b9|4r)+2F_AorlrdfP#1*S%#~BK#)Ak8$gVLsA*x__e$jH$e~DiVa!%6UVd>5v)HFvT5AoKvc4*!_`#QTSUx!w_ zE%MB>&MSW!w-@7skD>1Q4kQWiyxH!BqbYuktL`m1;}Y%i5$Q|t>-|W^=Q$;M%47t7zy6J&KZ})J#RY0?6<0@i_|<(-(J!J>Oe4=GAQl z@I9f>g`;%i5o!1)ftkZuaJf^q%RddJu{7`EE8uK#U$gEqx~2H&H*vR*g7-v|Y-7;; z!9rH#o~%lMABC1d3wNDyDGJEm|M#nnozs1dgLF8))lkt-FU9s_S$#2 zyEs4yS13$?C{8a9KBxsiJoI)fdIr%{(bC+$`^$M?vJ`t56cDO!D~%OIayuTF-amcvTAqGp(RQ?jz-aB@k0Vp!l( z8UPi~W>B6}8Zf$W%i;~S3TBuB;_;?ZB;hhFq|}Arq2&=nD61GoiS}=NhzzZ6i^3_vrYJO3X02p=s<#W@Pd*_<1=KFr=v2lcYIrIZ$edHSG z8)*C3a@x%UY6u&@4>TU!*fu_%>LD#jf2)6ITbBM3402o2C8wJgT6Um|wst9&;?S}a z&)L_FKU*cLBO+RIg47eH^kd5IT?g5d6XApVtJ$Z`lZw&jiSg;|W1`4O%3M?6D3I=! zV;YB*9W3UW#cFypftx3PRy@7Ln+4??Z>@qeAr}Yw1`i}>2j5&u)}}0;S%QVv92}8K zr*(Cb3&%<$RdXnQV^-F+GNzcOy~!eUJ+uS7U)#ygtYG6LQN2sF|00`H zcO08idntI*^5j~6H=;=9zBC|zmZA@(PB=tnUW&tKIM(rGx4SRgLtj52*(v@c_+TsV z=dfsaJIVasBDnzDxSmkINu0(PveCwUwAR+Q&nnWhJMCO0w{`c_P-%T(uJa3M`-OCQ z_|_9=IJV~6j2J}!G@GbCCsK>Uh;s|=O_*>T*JB%(&;H)-uDO!`I23Dp1mH~^x*uLy z#;p&2U}5H10i&`TWELO!0-p&`$fY z8Q5bFSMM=1iurf}M*EdWdCz}|W}`#rJK9|qxlZ@(dx6$X?hfn71%MScO_gMRUhHaf z{`CS{=hFl>zvSl7M+qOWk~$78Xc+L$XkfD}wUF-NQZhFH^oI%dD9B4WB?{06iDGm@B=7U$0=D$wVMIZ<@}l_uXbpQi8i{6p z4mTn9d#j?_waUff+|-o8jQ-&k9_dne0oKV%;-od~!A57FLf-SUFq=$;e*{&`(J#nc zV3il)&GU97mwe}PZ>XF6PkyyFbuHl#@j|TNl!e~e#QrR7*Uw3>WN8S>TM?`bd=RU! z=-R!_;^k7ipoMomVx9*fDoV0Jn1)y%Qojh5dg!*ZHMk4Gr|9XEB4nQn>bZgoIfQ^N zph*>;1OmD{4V;7!D^%IFiRD&qb#?uX4LYkTe0!-k7NqQz2L8PZD035f#Sc6o;Sr~- z$TjiO)_)dl-eDap$C{X+e=>-KKwnA{l^zExQXuS5o(p4#&QKjq^Xs}~`uIF+KO9P= zu09iaHDN~%v^8~o;`&CEYN~oRalFz)#l_{zY#Z#T3i{J=#ZDof8VugW_A{&p*u`!8 zm|bl9*hg(IE8cs?$OM4vgPX5!%Zheg`V--dX0yfF($ph@HGZ|EjnfukCrUT}!UgD} zB1@E}F4AxmarL88*A*<)1;j!8rD~fpNKr0D1fmeCw&#^sDND{UW#p7iu*&cQHmla; z`OW3=6xchmS%!g-skTjwF?OS2X4+Z-Qn%+G;$7hKe1 z$F#*M#G{ZybeO4}zQA({6&s zDZ1g33t{Rwyp*@*1b-X9s^oVmK_v$`D4%9mT4~kqd*Elg1aLV-?wNz{>_^R#lh&BO zBq})Kt&PNXQ$=*Qe}_MfKj**MhP9B-Hg#wN6;9q2LEUxDZn9DO!K0)C{X?2E>b?!W zU81(&%uqFYUW^`ANZ-;p2Zt-cCiQf#a}|hznIP=)WRmjs+Gd}kmBtX}apmNQK{ONe za0P-D^U`HGRzblDRl(W3U?Q?*rq*OFk%Vj29Bz5#c76bHXz|hu3Zh%+VSU%;CEp{n@?D}cb)z4b`xmmWeITEVW&Gq42QO zhQS4q+c779dg;(6vnivgK_FA#8$Uh7!P`rUPD2809520r6tHbporKp`gpm9RP$z8x zLlC&3$i`3#$({cNGT?)ljmB-rYp?e@NAEp82$Y(McC#lycqt9*d3l!wkpA3U%6caUA zH(=v15K!)D)iK|gJ1MEN6~rP%CnHVMlkVJ}B)Zu3q=%Nu@T|Q}&Q0rQkh2Ss#%8yv zCU$C}e`1=e^c!KudP#9EDz87t3+lhp0skKO3rN$hHd|TfGi)Dv_f&a}QudaN>6RxZ z)no;;h9yOxI#O>%7!0=%AUX|@^#_yw7_pr@tDsBy*aMZtZJRnXn8m`#o!%XS+TwE1 zUSu&~Wg%Y_hoS+ocv)YBQf-22Vr7|F+!aWvvOx9aZ5x`_aLeWPqp{4rrH8$hemrz? zqSdZwd*F|3-URvt0mttW?-4b5i-5UF;OQZ9PPVsjd9&=?Ax34{00GMxfQ#s+dn_I{ zO45*-w1?t2Sm8vKA^IglWLo{!lAXzKT(wDqbmHCC9+zWJzb5mh9@N~ROJAM9iC3Nb zxlp*^LPOrYa8F9(E5ICOmE!djPC_!-A=d-7$jJmtE1_^2qGJ^69#d7C&mAL=o1bw;Ij zq&zAG-vHg(vufkh1_KU{a6PzHEp@r!z8j?cISQYi1CHqw3f83?G}!R9#%H$ujO6{s zYq-1Gbd+U0^$*k3lk$G}d8HZ)zOj$DQsod5=F2Q^gT}1OJ6uU|*?&q62RN{SRYvvu z3o{(f5cTB+^@gyw-68i={WbZ@*tf=IxuI7s#)N};*twQd$;0b5UH>e2zbxOF?{nFX zP8y3`Z$QBZFyeUapdvmAXP1C2G1G& zb5ZTE!Fe#`VIadM#^$gbk$G9WSwr+9;3I40l?^=pk4@gSWK7`R}Ertl#9Gm#3dU}jj zKbzt_^g8ti4j7@;#t{8CuxH!WC=t()QU;5Y+PlS}<=7(H*53I0^7^BW&lEXx5eD=S zs^oMPbP=|dYvbNa&ImThay=4bM0Xm=EP;q5@f2_@fD1VVjWMzVjEy6d_LmikhjGWHcK6$|>9jC_)ycf_`_$!8q`PvQ z^Y!e2|KhOcJl4@4flV((WtDE``sj$F>_Dy(oS80ccgg4~#TJ7k=xkcO-C*Pxm9Dll zNDno6=5QM|dFpW2w6Zt~teQbWs=Zi!EKX+~~a`B{d+Z{N>sMX$T zSiXpRizr2mIGz~FnI`6#1&Yne$@8DW4=~aVcxW0l^(os2&>q`by2I7CJRKWU+NOMX_b6=*y4fb$Cl5r{A}Q-W;^7?02uGDhkK9t%yTns@~Kg} zXfPt*XXx2`7(nWEUOuaHyik{R#Q-tO;HOXunmg=gW#xCA2=XaP6aigVMFw9zF{|Wk zDY6r(tGmbB=G%x?k?`m0yK>RnV<-~wcR8fxM zMpVRX8A+sA-6PD~cw2#`j3do=8vy=yoT@9Q6!c_OSsBvkwEV8CU(Qz?PmwHU;a61z zWW+1cC-rijWKc<@d$E}43O-{~Nujyf!rp?M(2-()3EjD@_P7K(rUa^~@cFi?QwReP z!WgS9_rM$H)H}^Q*CQj38zbT7ZyCdpLxvR5;uy%K&)#cy#fXo5T{ix0xGO<=85y=O z@dn~Wd{}|JZ1Sb3G-VWx5$``pe`nP3Mc5nH$T5N2VnR~XD`afa=Gm++Ed!0O zbWwzTU6n92k|j6PIS7L&C4eSiIWs)Q|6d%D6vTh?xWVw1f`o! z;QT3YN!>#IHNZR;&Hh}<5<&Is^pTE@DFBIFWe`EVd3&)O)DSN_opiqCb`G~fdB~jZ{NU=?2Q8wU|Hod9&ARvBiOE`tHg1Cv;;Sn^fdK7}Nr+a?zof~S%+fpx*Bf1{VuwZ-g<}S2)pPg^fa=%>2e6Cb z#Q(SVseaU=Aak3gKpu#85kppmS#+;6z;mbOR0aa93X^&+_fUXq^pBshjQ?+>(xi4N zX(?=x!9Ow39-Cla2z4B+tSbFTMB3+pNVSis!pEjYf&;Y>a-cQ@`@G!AzQB;m`oz>8 zU@30e2e_%>+CLxBGC`&!;l)HMX=bKC3lOEkjL(G73C5WH%oYK81+9o;kpXm(zbbOE ziYs6zQ{K-mDxrTlzs5CVcUH*R4_H1}d1L>Qqnwo7AW@VGjw+j75Sd4hgRU}_^!e2n zO5C?C-8;-gU5b8dot^3Z`uV{i4@Jbf@8uFjC<3>BL_>EqJ|Qjc)+Z*(oGE#&NWBmXlZ`O5#*M( z(21DLZ#w5nH6(<(?(PrMw`2RxCKl1lO@TE=)xOc?u`9u>zop|ziECq;AHH7z0n~8IN-iDnxHx8e-5Yp|@p$Fi%fT)p) zGR%q$mpv~h8PooiY0jnh)4eLP+p>^5f+vO*S{izi3khEG(r!2^OcCGOAmXPH_qK3X z4@(Zh?uq&|=-AEE3;boPx(Z?xiLjILr2>KxPI#=8_#n#+a>|SKXCrGA-ysUgXL0I# zx^mWeXJ3_Y$06g2@Uj|@l{Z2;)_qD0OGA*uKtZd77^1RQxzj(jgX2IP7(>*cHf&?A zQcJ`)8{f~#ZZFm^Uqwn@xs@M;1Jp-4?*H86RAc*~4!|_mKTMM}t!?ONTT{K<@9yEz z?o%YHQL=Np2AV z?J{pf7v4(W27yF;BuW=bp%gy{ZA}(p-ntaY1)Ub`h_zQ+G>?OnbpHbPxQTbO{`iP8 zq8Ozlxju4SvNI-~2|N@q)lRl|dU}=}LGA}3dZagQy;#-{IimjerLAUdbBpD zHDKE~0UEFCRHjU9>@4b7$G01rcUd`ZBTXZkeJ?mT-w?b&spJ ztP`>QWz_Zn`Tc}Lsc2Gi{A%Ji^tF;8gH(5S8)wC@yEYzLYJ8n*^S(~!B~D-kwD)8zXA2|p8IV7_bdA9VO ze!#QJa08$!qo0X!B48MKxxn1C;e_Q8_6Iv{kES)U1ZXKtAWw0sW=RQCl#!itHZ=4e zdFj=Ke#-@9$&QQUY^pu!v8-7tGe`ja*-a_28FXtGW@G?wY77av<8k95*a`TQJ$%hyw-6zgMd=s&Z7#w2;&* z!_x|+Y_`wa;Cr}I3H{hIHCSb7vQ$hJ6>WT-Dl^v@^I z8MA_b9bkU<^p~Lg60b^VRAH|?;{~sI^=Fw83x^uw@3eriv$L?+p4blO8akzc=PXs9 zJa%2>S4#PqP%=`2J^n-so%WE(b#Er~uuCnDV{LFaG_Cna9`5Cyd&Az37Lc~5*6Ni8NNBX zvY6DX<=#i+9G%`$$&%QK&ZR&_+nr3fzs5S;)Pk2ec9>|uT;6qRSpwP5wD#A(?;`%x zOKLga!v2mP*UcmgffXi=;guzQ9pT0KC1vv{FJWIxYq$s4;y?m!`iu1#)IMN@Z7>wf zel$(vD%g}Txqnd0-vDRJm!@HIa`)-WM#fDdjtH)5$>QNxYc2?*4_(`1W6AFe{D|DM z>)De;h^QdbrjCMuZ}6)ttb`~OU%0x1cgvdFQ+J3`iq&SAxmZw4z;?{Fw8dXaAXKXU z0KEkyT4o27|LhtsJoI`AjM@di7VF```5WTDQQcYDw{aAI(A*<`E~x)SbvL&$bbuL~ zn4FM|b(Nrzh;kjLk9eMtqytP!Pf|-v*pH9V(@WAaEif}ODNxAJ{*#&*s|3)DO-wh` z184wKqcoDr3@q{tazOe7{ov8^ZWwdoaj7ss|E-xVk6NSVN8`v3{{R2QlXo!E_l}i^ z?q`4v*#^6wfuWFAvE0mLZ~>xch=8Smg>aU-d0;#3=K@WwJ~;n2u8hw0T8^pfU|n{> z*mlI1vQrCDM%*n3Dd`zhkSr%>2uluPh_hr5cqAd)MTIIs zD+Mdi3^rf6=;s#X%2oHjjl%ICEBk{D1T>`v1O)xR?sPQMw==HNf^y3dX_|UA&iq&< z+ulBOf`SVcRL}g7SWB7{Tq0wU9GgqNLs@HtD}*uEC@n=*H>${gal>baC7>%U@>8At zU3vl5snmDwAhN$$q1uJ8bgmG5zMsu`?Dfrl@BKOd?Th!W$8?;{Y?_{Kdo+>GxSV`+ z@bP7CyPB~ZzkA89j)uR)!rEkRJnjFtk(HI@e&)-GexfkRo_gXSGqsnwRyy-yYgsyTg&Kxp%kP}; z*8CFsJ$?I66YCv2k+uuBLZc&5x@uffBt~^%eINZ{@cN%3#2eS-54;k#$Dw}tlJA+!dO!dr>5E1Od5@O>2y+)Q9kEz& zT2XsIl`1l~2VlsmMjQcC0WDu)u>mSf+&&}09N4iFIk7-W$-OS=UHWj(i5FreGN+h{ zQB@iH0F%eCHkf>BVPG*yYk6yaH9(8t$X&6txu9yYG%T_qAU$8n^^vV5C9=VMnDH|F zwG6XQPAhA`yS39-+cLkTt*4HeZtC$L2=aTeQdWtlAanJFCW}bc^;qvzim>ebTJro5 zn9fFqM35{Bx<}5?#!~SPrhz{@>qHFeyhsx|0*~a|i<11l@(M?UEX8e#U*Q>+>;jc; zM{*_ycgDLXcS$(cw57Z=n-<+!U21!Rk}BPAqRo*;)W`*b_eWlkPX!hN0a$1Xx9$^5k7;`??Hy9`}mJ!Ae9)kiw8SW1X_M z?1jnr8bK`sVpexu~fXWU~W&aZfpGV{F3Iks$1}6Hi$>NcpY%?Id5@U zLrGnaoYv`KIc~8q3Gd&Tj9^QPH^87WWqy$`?3=LQqShsfbHvIC3S7iWkg)YHFxVnU zQoROQGhj_GL70^@6gY22#tSrpS!JSXeXTJ%q-CPIT6nP7LP%1#Oj#18`^yzCeQnjc zm0dK2y1z;ngK*7cvw0b*5$C@ERh$Llq-Ra@MFy$@W$lcGXO^S6n*c?GCTb+lIDugY z`z}=}=9Y@Oww4m%jl8E&Jfb~=nm1uPHd0OS1wcsz<1Jo|U$I}ZH(&DN=tJ`TZ=PiFoDRP%A%98qnKrS z>tr9ELf7_8i$_T7=56sq<%O(^6Ls__ATh&)b*#A=`S#BUHO`67>}EszI@kzW0oWcf z0v@0IMMS>_<5v&D_w7}YLrCXhd@RS?aYxKY8nJDXuHykZjyfd*w?jHj@-ye%c}ypU z1N0y+zPmaBH9t<(gUHBC^7*;LN5I@w!qZ1u1Q_l|*?5eQv1rl}R^2t>f>(+Hcb=BQ z+1UaA%%brflo(x{uj@f+=g80O`~XSOmgbnQ!&Kf0Xbe9v&47CVthv@@|*|aVpGZ4cWK1?clu&eiDJ0! znZ&ACTR%%)ExS*_YTeO$m{*^_z4WMOf;41ane~)=;!+>*J%y^ctHs%2uKtvmdgVu6_r6fwScw$mF8jRIbKP^^6sLxcCST?I$QYnTy3UF`S^#;n}X!Z}#aTCUv%8nUt3 zUOmo5%*t~X(pJng2u+(EZC=Z69}{b-3T1}A4`6rr*Bj$fp~t5oVnROv4nmt%&*kfx0^lk8$IFFk8yJr-#n;k`!jRbz0) zWAEMinNs)^-j%xl1`lAQ6R*AJQcS$wP!LRsF0IbzzkeV~(r|C;XMu-N>8F}OQq*)0 zTZ@eP)AmrFK>-o<%JnN5dkCul9~+8QbPCEzl`#cN3Vfbh$%YOe3okKWD8422pMK~& zFAFs%T!R9hFmsfQDhg+nn7%kA#|9-uUAu7Im}oUgt&0-^5!Q1$%_pi|K}Zi*soDn5 zs%utqI?*UNpJD|hcr-~TQ^+B#NQZmzUvmYZej3@#=#O0k_?S)54J4laD6yg9beT~x zn5Z@!M$JjDN*I?S9l>vb@F9|OxYh+BWzr-~)lhsnZ%#murnI`qYG_cNGmjoibaBNT z3EUsyb3m^ju z=(I{^Q3rfI9qML+e4p;Hu-bc)m6SnK>-ml(u-!85Te)zcm@8HmjCI$j&FL@|^5%v& z0>+y)Y0UR+G3Ioph1aakH{2>{FEd4Za7TsLl*Z?|t!4T3#XSj7%CPg}WTX=GJC9h)gn-UH3KMnAytti`SxbHl#259{4hRvmM1GS~Ii z?~#O;lTudaEj*vKv_C)3dP|v2ZJNy>d|u1>>aD%pl(Nz^_b$P&vwn{!yxjgVVGS!d zcCER~Oq@GDyb*4RV#9R(shLs8Cpo-voK}|KT#^}{1R}aXIeCI<-Z?w{bj`J=%BcTE zcx%F_v_(Dl+SJ;-hoSwxPpZ(mu6P&ZX$K?xBply_=u*QHi_dKRNnU8Jgeksx6)P*$ zYMswq{5_6dI(ek-!r=9K1-p#{_ezKd;r3H)YUD0kjZPqEy;dvia9dAvS5pm(8Q(oG z+o`4eV&m_HlOoE8V{d-Gyyo~l;d-+e-{<0IcO&|b zw#5wEP$A~kG|$F^ov^5$pR@Aux2aJ`gg#hEdw-GsT0@8#?J3is%dkPa(N|inS6iW! zwLQ06XT~@38ECOijy)-Enfv^MAiucsno3Jh;uCSzL2)W6r$9vD^L-JvuXY=vJeZt9 zi|K)*3K86TBBFR=%Wr%j21t5?4v`|uYw$??qDw(S1u+z+G$Mn-APSX-781t>rx=U` zmH-`^OlaI?15nsx>L&$|-eC+-2r^nh7oZjs0)TOD4SIiznqw2w+XWJ%vNu9T{NYd! z+2#C;5%bf=w*)pGEaXrvRg^+)Fh#KbVB|f2fWe6j1^8o-K^nFw<%>vCzro%R14qCf zxKzsSk`%HXHGBao6H-JU>aD>Is_1E$Xv*$xv>Z>Rh#V}+7!yxt;)dU{Fq{r78`RJa zQ^4L|6nU-~b(E~6#b&}meip$p^0~UeK*7x;N>OCV=6SZ(S+lt2_ z{)m1rP}Y7au)qGh;DB^QVM;N<&NP(pyw)NjKql?q*0vCNE@dLXv9w^I4U-(#G!cMY zdvEB4JHQ}FMn~^L2Kko`ND+@?YmM0eX>tRX zNZUJ%nk%Rw?mEbbsC;O*RxPnb~>{1D_IhakxH zld4}JhsOE$hQ_-Y0Bx$mltSlc2(}S$Cpj=&NDV__3N9Q`3Rxi(B6bw@bKe$}O8Gtm z1km!(8CDBuB}G_ZNa6q!!sFGl3I^=hXp?mT!rTVLA`}ew81iZcK%bEs(q^Ol_AV~Y zUpIaIg6cFh{eevXT6xs65KnXPM0%EXBW>h01>z9TY`FGbtb^UeJC?1C{sSF(|0E8?bud3zze16Q<}^ zs+U(i2w6Sx#?ODai=BX1NkBb|=w!g=WzNs(g%A&$3na#N7V$}o&N-Er-2vg7i$Zw% z@fnytn@74J(d{I3{)6a{sJ1+YNdY_20c00Zr$}D*iZeV$>lX#M`&O zrPN0RdKXX1kcDi9gFkcm8l8dXF6Fj8Ww=%kC4mi>ISo~>uq zNbz;@X&WDtc}xqM+EGgEAdk`DZ1J(Na5uXgrP%+wa%{ zCPT(98`Lncvf$ z4AiN8E~(l6S1J8cDD+z2G?hS@Hr$ZNS^;_YqAbxsi@PvnW4Qs;kjyweXd#7CV`vcF zQbTA(MLAj2;Ktgxa|T@SNO649xjcVP#?p|XiSRO-R&n{)qO5;`q*`JNLmTjl0kuiz z48{=SY5hCcbU%un>?jq}fC7i9&Pb&i{-BHxD&ep&2cL48=|iJv&HUp-Togq$PSN93 zOpEk)c>=x{nCW|CUthN(GonmW4xpAlC@>U&e;Sb+2kZG6DMY}l)X}C92QEtK7eg-Dapy19OHHWvaV?20coZ{W zgQ?naPn`9Fi=ZVr@Ih7^$>b-{pfHQup(&=V`u#}|Oh<0bs4Rv>wKAdAz%X0M%^6JqpxITSsz+b2P{YtyWgjr!-C13*ro48&+U=2U%CgHwn{& zkR-GqfA_;AY>g?}DT5GI2gwoELzj0l31zGv7zDXE;^ILWz^fkc!>K;zihA7vuO46q zzc7YIfV;u_u}-vlKmcWH+*!CQ_WZ^V>{>O6;;<57qp*yLPilZqtRdz06~*RBBC35C zL`ne%uH~gkc&l83G(twvE>lV|ax6y@r{lF===<3nRYpm)=U zHvajg2_tX7r{{Mp<_%%bZ(^O7TC)31ixL4I-_QsHm_g$0@3&k@#!6l%!DNRa-l@tJ zCA6L<*y}Q#TKkc!OT%-NMeKxuF|2e5?0n}(rBnn}vGd;w+Q3#(OSJjzf-9(1Kj+hd zg_7$|RkWQQ_IFNBwv3nvBl9@t>Wfn}hV_&it7Fb0^qn=zV2q(?Vw;UT0s7vJHjInO zeQ2}opo_@^0&4pnXLCmN8i13q=7X&=KWAn`ML}n!!#-pEzm)9d#yD67g6v3R4-C*7r zDM7~Gq|cy~?fuDupeDjwxFg5`(tkil@R0d7Z&5+oR)zX#au3r@7Ty!7(51 z^1^cxDSrv%z*osZ%XEymibwBd&#zFUl`VtOKi^oPamA zTfFHvf{iqW>pYPvFVrF1Nlk2^Nou;$oyx-a5m;XC^W`oXD$acLdJ+;l*Eev0i#!l~ zStN-eu{a=db4Cuy5UB^w$s8OOabWy7DrCFU(*o_Wn+lucGk0vPGx@9aYnu;jn#i4z z#}hioqwQzCHkc~hqy=6hwI|ut3F_kwP3nB7z;Tv^TfyWm8rp1yhldF$-(HS|kA*Wg zu1EUXdBOMSW$(1KGE-u%V0gHyf>om`c3;Pu z>U6R7c?MnYI6I)=3lHacFYn>{_RXdDWu2Kjvr>Y4UTALwHLQ;UTF|;PQl>vHLU(r# zy7~;A;Jnz-4RoB`lb8G3PEphGM7ca)j49i;B)444EI*g_&K4Q<_*zi@m9ekFRKl{4=}QfRc{PPTn8{+z+@TPAg1b+ zZh{h)g$A@9-4Qgx-7*isTYD}31rk2@Bd_D?E_>!}S?qMU6Kf^Oq+YLh?h3B^Y==Gf zb-Gn51=qZYVMBTHD@jKu?#w+0fH?MD;OSmj!)sY7-(=9)y6VlsR;YTh;ydYeFAiRhaO0?1 zd7iMh&HJ}IExkiuJM4IA{?&DC0Wn=;{o2{u?_E7Tc;k7;Rf~%m!S_etj7#HRHR-Q7 z3&njYP(()Yrt-Sj?r;=8Iv#Q;tU!E|%&US**F~N8O>AgZu@LoGsoL34s|5PS(@UM8 zjzx=w)!pP_VG3XhD(@dTbe==21;w@QtR)6BOi9Dot)vDouPZ019HPWQ6Z>?Lg#`;VF_3>8oXAsPYwzH?c$sL|C;7 zv0kp3rYN^=7APuTH<`}d9|K?1C@EGlL+Zr;r8eFP1pUYYssZT<=v-0h04fZ67DJ+v z2Ye9HL`MvfcYTB8Q8C&R0aek*xr12)CkX>hRRdRI#i9DWen45m`}Jzo0vHH3j>Ok; z_Y8SYfL|a`JQ(`5Pl6#E{z4GUgyy`+5qkgyWS@vZHss?IPDJ_yF)+U&^>6$SqX2?% zB^EviT`1;$q26`@%jF3Bzn#=4>w)GB0t8e;{r@@1&B$;lU0Zff05#;Aef66m+_PiB z$A6vsS1aK-(VvJ+jCrT^ct|*#*3xDOmjg-g<5i{z79H4NUznac`H{^XH*xBE_o0p-6uew<(wvVDlJ>#`kttSHaR zYMVi*^NG|k-1QHvX?4!YTyO-&9?%5r@5XaXz79KO!mLN+{`Y zBTOc~px8}cLejc90d+WrZ(_BCj|f?U`i_Ua9RH?9UYQQlx=I+_H{<^d$iHYoP0ri( zeUIZnr{bey{fg;7iC}g9+h=_xxAM_CgJ_2;qna|=KC@E+9@>9A5)2;d7_R~$^XKh# z=P%Udr(_10uCRRuG#zQbB3czS@3yF;)U{fbPM9{odabQf;6(fggnq0=c`MC zT|pZvE71QiW}yL^izMMQI3nxHPhTRhY(yW;{-HEcuB73;g|=CrFw@q; zFTB*(#8?pi5}O=&jutf*&CYWcL z%{b0n($89Vs2R6~i}H3$BM$&0V7gAPWNRRBVq2J8bT4oJ*yT}w#5^!gTX!TWw}r`n z#4`0t_XBD&yc|XR{0is-;;VK8=;JbuB7lI!v_V0%&2F0H=CzOrcH%^UvH}*k?4X-+ z$Q_a%6=W%EcMI3|ivU_)``J)Y~5_ zQicSIFy=;PDtdGln6iuXsO9Gh@Vv{K;KEURg4{2d|NUw%=#gcFHUI-Zb1lD>J(S?nB$8VBxQRU5doz!+8JX_`eL)ANg zXA(5+!m({98{6i_wrx8b+t}E)Z9Cc6*2cE2^X&JY^M3#TT-{YQ-PJYKJvGlY*FD|S zE{!9M<9tTiR%yf`2Iu*Nohjm$g67+daKf$DlNTUcA% zBzGn`li>`+4DX5p#`@)*n#b>V6wLpA_D5XXuP}|# z?|v_H3-^THcO!&fW!E#lFLM-xelOjz--C#L54VUt-#oqdyA*ym;)LI);^v#~Eu6U1 z9lJJsS>4aKxxO!(gjt`Ky(i1Po7ta7Rv*g(Z!hA0&r|QuGxT4#Birv+nyuLC!ma%8 z{m(Nwes{NPueshr;Le%bn)H6=Oj(;31k;q)ndX~oX6P{d+Bww%cqszh+S#A|xgUlC zNG;(iZ{NQ4TDHTNp6#64x!K!)1+uT{LH}m^RBqIS`Yt?H{<)ZrIGsrm?mbzVh%@$Z zb@cP_osh-qu)BC0I;zqZeK>t4oYv>v{JVMe9%sP&uC{I8nrYa5F*rE1e~)Rd(%0HC zWwvS)HdG<7!RY%v4Q=&#CIhJCE0hAmgpg{zyp@{=r=(GI=G%{FLT%GXs< zT?H{B%!w(p_F}P%EB%;EAMwQ$E8WLWC%CDtoXcE+t?OKZ>+(wPgKwkXx_(13oG2#5VOLw1@AIjl z-}en#k}Plf6=Tc>e;M6@kE4&9qpy#jXy{y++2f|sUkK2H-P^t0Y@cuE*Xh^G%bdwV z{SbD$*7K!~4V!ZN5->N8{=IO0NV#HmQXFPA3_ zyLBO|9ig|&rJ&jaEx}(?BKeQZ`40(4lhihycplE#T;?Og{IFg+C&GSBr3OPp zfDm*E3)BQ2(vLzidJDVHj+Ef4O+1pDWJBS&>|=?!->7bw#>GxxOXM*j)GiraSi(7k z8tx>h3;}P)!)2E>|{KW44Pm}3p+%@nOf|8N6ewGeLiM=8KtpP>(^+Bq;ol+ znmUv{Nx$Fp5(ynPe(KM)nZ7!@(n|nQi=54vVt$b0 zNK|!W2%Q7?eC@yTPh&g_T^y937s_4QC=tya1-57H_^(J zO|ukg&#xN^Gx<@OmpoLV&CmkqTxzQNd+Ari--+L$R~?8kVe5>FgQ&7PH1m=IcIuUv z8N6!~ZqvfH^6IP)fyZCVC4{M!=!jE>4C@hkib*g98p}bH;^K`eewdFh4H-(v%$|ET0z}o$g=Ai1Xcgt z{LdQzev;X z4C_94v+(J)IO>8YDEOOEGZ@;xEplfo0;Yy^GPA$cHju0;Jfe`Bv%@~*#Q&kAD4m$J zH|;2nZ3_52FV>DJ&iIE_hR8B&icqMF!mF!rP12}Q%G#{=Cfz%u6L-b+7x(IqQ$y4) zD6YZFI%qp{VhN$U*GNZ60s~>x@6W;4-Q9S+6LL!8sJk75(dyc1f|@6{8Og(g5X=cf zC^O_N@sl`4n(4&%(58C)k(iQARfQe5J)N$XGu-Xh*q#rZ&u#Uu%5T=M+jR3<-R{SA zYriK<{m;#72Mzb9J1@eoe(~I_Oa70!u+IWo#2Nlq%HZFAZ*y*b@2LW)zq!3Gqd9uULVBLoIks^^1>PfTKSuPUVz=E%PCia~ zHX%RHuDhn2dkCn%{x*I;=6>COzgN%jzViz7ezlu_zx!e4d% z;#*Pf)P#D_Pyww3K4-qgso$&>^FI(zqrUT>hL47pMRp6T0Io!RzeAM5S#BK&2sJP; zehmfpCQH4e?CZ|iIZ2?#0EM=Zr6hDvEh{%EX~B016ThvK)#?mx~)X7pqZ zq%~y@Xi`2BH78SmLE%hh@i)4ZBRXrkI}OsjMlUv%zpXlhNgwj z`#$+nFc{{0#U94%1hduv5wHW;ZsjZi7T=n13iH~arY&Nx)yCi&%|;Luj^6wG071tk z&FbKc#?uYU|7z7PJ>Ar6K>+8`RGzFyi(dbuZqAFj}Pz2G!Kipf6#y7zQ*-pu!b zzd_*pnSA-<^MN%avG)#<+)HBjpb}5yMRNkr7b63-kmA><68W!}=ykj=mkm7M{p6`1 zpLl%fgZ6F%czR@>FI)gUg#Xo>0_fG)BJ{qFAH~I#$sFBy1u=%HuLXU10Q3m{SI?`N z>%(UQ?`w-DbFhOwEV3A&^{RVzp2Fpg|G!$*>v%n;nS=J9?ngIInKS%i0y5o=fSCPe ze56J`V9-#zft`iH;?o2~r)IzXirNjL4b97?yd}6%?yf6M^^U4l+JfIy)juz&J_ff@)#`kc z2}^v(8UpnL|7&P?9VVp(J?dYS{aE&U-cC^4(eV--ud3Sl7euBO;88hMfP1TI=W!iI ztp&a73t%qG{QG%21iebnbnHbI5RlOS4ah2Mn$=acy00WPqM8=(YbA~TBh`XI{rajM z^*nSyhyd>8hCo|e@Lpr>{wHNE!FBqys-4_R8X67nSuV%^znkW{N5lu|ZBSz~Hef|W zvx44)*$T_TSVsI`LEdxWTSa8wLC+)khEfu58heU7FKeEeP+*4|Eb zrR_gobHCU0KQ7FJ?BCw34_NeOhF?A9Ufxo--X?l!m2S@qxjnrQyR&ys&z=IlHVJcb z^{;YkPT9~NYH)!YN!?-*pXFBsk@>gBdmmqOwww2Fbc3#adaQ4ag9uMd1=$5y8#w-4 zzvzmv_dcFR%h&pN9xh1d+ELl9?Wpr_bD(X%J0CB6fRtn$-QK?3xVQOqba;GBOEAz` zlb&u!cDSPWUaMsX>#Z(gIIglMMj7*rHDsGiF$7m6dfzyKE5<$Dy=}foQAM~uWK`kM zd7YjXSWgg=|29i-;eqacE{`v&F5882+;C-Uy0o&`Sej+e>#_amqumKehU|7O4?k;F z?v<*vq1CFvCe#A!lk=!dwxwp9QEn;^yTCHl0&SI7K3usuM7<22R;`;a6SnG>DZSQY z=ID(#W$GFtf}&)Ku6R{P2F74A7TkTd?AmQR8{u!hOEq5 zlIwf(Shi%A@`eX=fCFFw_|v(9@S)UHi3T|GFQnlc*E4KS=0HN~h|2&{iK@Sb4=> z@Hz?B_E(P?A>p}W%~IE7mkE$7y2%iG(XC8MSGJoGYt1yH(#1-GEn5oYvt_r|9HZ+h zb80O#qgKaCHgS{BF~Jlz>+;MoJ&B!nx)vB;*m4fnStPH>IY$j!7O%)1*k9a8&8%hQ zyX1(!XR0*~-=EBt;ugGm$)T}(HT5oupL54PJu6zu9^Y3RTGk$C@bR~J{@sQtTFRPv z_nK`=xPJ}3!T|`O_a4^Z$H&Yo_Oc-hFmmfs^<6@t8uNWo(l~H|33{^=r??;li6uP5 zvVI~NyWy<+$d)PMMVk2WWGi)!qVmcTvf#II&RJ+Kq3eUdN;4^RF}2{H);si&rS&BR8mywVKz{QRs@8v5 z>wpDI3mu7L^#|PGQ#{@Ip9XyvUL!2(BnU7mo4&_9DMr6=k$i>XffrPmPARyGU?cS1 z<;U3+b@|odky7wK>heJW+J`d~99DR#y{reCIFIzvo~dHp(uG@dWp1oz_|cx?6|D>I zy^Pd)nVfcg>t*h?P#x?zElg*dSdVs5o*ZJ`xP@EQir32uI<0Kf26*OuWRKm7O6Gec zv}L$eI2fUK+)4z~{^G=;VV$dy`*Bb=vSo_+ikVYPzB7fMiuAa@tbQ6%*De+8PyYR*n4KxHy zqpbi)Lq?1j2x@5CMGlf7{O&YPc{W=M&l5^oAO5uJPdwbk0YVmQf_8P>&j4K)8JsUr**dwSth01n_@7yV0=j0 zQpg7j!eEn0G*0le4MO3;u|lw5kji;<0PfJB(rTp}D4GVsPoQi@;R=wJ(sXe34>Z(8 z5;*9aX;5N3n}&)ew=p!57T6Rrz{Um}D3V=G0RP`o7TO9OL#!E2Yz);sY>Gj#wSr_x z6o4^6FWI#$ljwHHYMA>A#=#<~|K~J=-e=T2w31w^0cz?^HJVUxod8a_$C+rTL_ivZ9f#Vvc7HMt-2Q5p zPd$E4J?`{@3ZDt$CHD{Ojadyr4x3w61L*N5Rd*jw><$E*!ONY z+-lZ;9&Mlhw}%J*epy;e-Q+7OCXPa8&-ss z4LoNVDnh5=i2DPP7)D1uA3273pys4ul11`ty+6jRiE<2gM5-p-4xv~rYO>`xcL&yp<4V6{@+H*G~zrlbsqr%7sQR7T9#v%{X*7jQ_jJ z7c4fc;wllCPuLK1HcmTYf(2S#<>`isbX$v)brw|Y<3_mWjp7V!S%^rhG?;gXfNKOojP|Rr$V|Xa>B%#! zz$g-=JK>mNmL?svJAv!}GB2t>^$Byq#3~6HCWQSYHc2ypr;AFmvqQn0>ibT6VP;2X;Yqb$4cgn_a+7`JJspG?dhKduc`>- z6SYo^nmt*z4Xi@hlOIk|||C+wA&)?Bvq zb9{3)H+3(r#qhOA9iU(TFH;YQ4eZSm&=pxga4AAQ1$4YT*i=)X|(q!zJb+@P{AA5Nbzvp4_ zP}LCbG}3-@(U)-N)l9-+0H2!;u*1*(5Y*}@CEHbq!(c0+*E3Vuw_=pxWV9U)uw>c7 zZu{}+on0Hqfu(1iw$3e1t9nKjdTgo*K0{Lhle41l;>8%%JRN}Wr+%3$FOYMVn+8Zi zvJhnhLJ6+_CleUx5R#1wG@3A@0vs#?+90O_+d^fe*j^tFG~}`bW1Uzay!9Wc zQrLQ^Vfcb%@gMH3RJ>EcVScBO31j9|-ckbR^~2_%nG?{DJvu6lGJX5B%5u2CDhtCp zH-G0`LVg8V{YCNKYD^n9@79u&nP5J|w-rq7xGJ@cg;>hnL7o2Yh9Xoyksy&FU_A%XU~WHX&qOtr)pT ziw(I#6}3i-Z5P0Lm>tk*WZJBjgDZ)w22_!fam;oqx)ay^$Mhxkr$O^`zF2fNyhE)s zXBcl>z(8jt7+XAcE@pQBILImgq<)Dj>0V7Kii4j1EgN!ha6yaA{hor7O;<0XdJ)Hi zRh;7^7co6fIp+an+H{o+qb8`#{xi+{sv0K8t1>XazrNMP$jT`9nSdg($S3ynkB4cs zE>my1=Ja94{m0wU)z=R(50{cr8AXHyo0fYR2x?-i2Lo2IB#o)RR^H2;3asd$Xg-Pv zkto?=q7l>DOzlEB>{i{v_U!vsUtwD|!>Xe&-I{BjQQGSj-49WfjEu>(1AQVIY&M7M zLNhIJ<9p!wAUV9{6+H!xrcj_=O3v;;*+G3MLu5d`u5!Nc(gD(HsAz?U8&TtnDIMqV z!ovX_F7_}&i!^!xE8sHpK;6ccc(vE@sN?8VVXcSm~#KD4!Is2) zl=ETfsnD}q3^~-Nr@Ok9%7m>p5-ATYY7d~AR?|35Qi02zgYELxPP?i1#jv#1M{)$<=;2N3VJ^E#qv9JpRTY3x}`uH$;v!k-(crVC4MP+P;K1)91 zye?xtr|0a3zU-pjgwVu-Et`$b-JfjZpXtk;j(BBh2Y%?@w%+^eaSxwwBmr-I1G&P7 zK7ZT_D;v>A?qv7t$A=5Sw>bM(ou8w}=T!)W#qmRic|l{p^)yYR8!L>L<(`=)D~ERR zQPJnWw}BT>`S-IKvkl5FWm1~{>3TmCd;E|5`lBJswmg4`o`!Je*TtHv`LXOwd&HfIKXyz4fdG!D!A(2gxAwl9WP+G~B^S}XQpVEg9bg3;rOmSSK ze&-83EchLB-e>sdt;rV{XP)<(~{#l!NMCYpA zL@NZE3?N{ZmVT#JrN7p;Od(V8lk0~Fg=_4V?0=-UE66aSNt7yz)C8W}BGbDFMETZoq|Q?jDv`ZSxOV0N{9N&Np!xE02i`>laVCfe zuzbJF^3A6&x+rIGpym=@kpHY1OJ*{QPLMlq!BNW!ByuDE<*kuO6R)MGz8kRp>RMpr z=mph4WNgkQa&?qaxm1?Pw15i1;NeQxbHVlxE+mb2NFtrDMvVy*5lW4h;M72YhLk4W zHIO95L(qighlP|j+cg-g!W@3-CxcWs3p)APLQX+KcU5aSPsw5GG7++zAYnll5&R$K zTnvs%6M{Z_piE#v5%G{^<#7*FKP$%!dr8^|V3p+CVLN56ZVwU0A-#TWg|)cCc*(+T z84naX;LxZwfxn?^O9O)SxA_0^`O(Xh$jK6Q8x%A`p`XbF!$gzo;ES(shbjWV2L}ar ze@Cjbru{{;MaU$AN8sHuL@05epPXl)YC!>o{7{uytB{8W$W&2!o6C-JLg&!5`Hw|O z3X6U-wKMuUKj8K6UUfX|eEn+FRc=e&&{M=ULJpDD3_XIApTq046q%}0QJI>5FOYNr zMbAY>XgGz<6Wi`2l~wF%+czfE+8HXTl=Z}Lr1Rj_%H(~Sfkdkl&$^T8&>a_~)RAd$ ziw;J?aByR4hv(=MS9$jzC=wLIlvF!8kT6|EShG5Gicowg?*9$9 zRsiM@3V~2>?d|RLmNg)h~Spf3>DDr4=+E9l_$5?~S;*Lg&X~8TIXBJr< z0^RUXi@2(ad0;$;xaxvxV4RYq3PLDYHA<;ETg6Sjy16OuC>2`$elEB&fNfWL&?YZ} zC4aBD0$=ki66_(O;x3(^LiPSmbBS{i$S1BE*d>3;Abt*Vp!h(31PUAq zrCIYiFe0VdNCO&w{vZnfj?(sx3iGiQTf!6EUm#^6JMuNE{!%|O#|aNn{Uv^QMF{X{ z04p7S%yq)VQOD*QRtD5tbzgY+g4Y6xkTuA^1bLwM`Bp-Rz?{ClM5Yw$IOWxSRhZ9N zKa35*#659J9KtE(g8BeAfS3qFu+K{QG?T&2GmPc4OMns_IN%rMVi!dqHb6q0l0o=( z-#2j==2FxS?0pokuH3!K2I<3o?a6fsm&NxE+2bD8Iw1wzFJ#Qh6OqNSRcV7iM1vH; zkoDTCBFT9Fwr1dWA7hvbJuHUbFbzu8rT3_mMzwFIxNYD|P}eO$ajpjQq3NHEbF@Hb zOyNF$j_)+14oj!Cw>hv+wr?J(&_A+Y>Ybc|kLT(g!_P3u-#*x+Cq!8JXmA%8yjV64 zUGtk~nMqt~T-s5|n-q{oz13V#Ln*7({##L7nHV`D`Z^j-MW}76Uf`fSE?#M^d{qM3 z^yaHpJTuXg+)~P0M%%}HWyILg)g(stvog@M63CK{T2T5=Gvjs2GzW3_VQkEm$f+|~ zZAKYNxJ3|uvo6R7(>cnCX;q06r9Y&UX2kDU)!AwgGxRLSKAjLPmq0}|W+^5y?BH0X zJ4LZWk`*QJ0wEryD8b>550^KXIN5X6eCByV6OqkE5ZLx2A+MMZSbqT`y<&y zbilTKi2~fZrtyI>T~$KPx#`f$dCKtkj;+KEimgv%`|r$AXH2; zxUh`2%h+{9&Bw+#tr#S+^GW%{I(2VJ>qhhD!7{nCs?D%5JpX75J!@Z%q|JSITi=n( zRp~5bCLc+y-(2##pJ5`SZe2RCI4P=rcG3^(Wu*X$7fSxYvF^Fn@5JidFv3Gt*5HyX zs^wIAq2lU6f$v07U6iO-nMhxjC|B{1f~E_!lK~-%jp^-NdqU^&WXkM2EYq#r8?H0k zWZ4$~JPq^p-)jOD5f2x_{w=?hbmFaBDsp_zO~(CQqF#W8Lee&J<8Qc%qSXtsScXIq z`nym+78nLyTmS_WjXFk+7AWL5jo(d;!78ubZxXDt#t+T5uThiD8!Cyy1ty^C%(U5c zD^x9QTOsR13bY9Y8i9O;*U+x!J5((ifz|>w-%#sA^S1vmEOrH~UqXfFFw;Y+DwwmQ9=Y&)_iMj#a%a>QU&3jQ zHpyisM}onaG=dew9>*kep5Tr6VbqYD0@k;O|mv%p33k0!Tls{mgwL-CiZrqjDC>W}1JuU$w5ItJ zP~O`l2%x_3I~PztV$RugtJw^1Qi25yaQ6m@AObFvNv7^K^gvZg6 zeQ=0Z%MAhRv^v>ju;v?#g~7boMe5E4gAak-qivCX^~u5>I|c}Br|ocAk-GQg`pZNd zbEcwV67GwXA2T-7;;4r&OiSmXRBI;`BQd)nO_v`_^aMs@{HBhq%_Lr(4f~OIk_NVt zVA7VtGgvUb2b)3m_r0#{f1%3=9y&nz03H$mFkI%|x$Z=G!L7o^;EUDf!Xg3ogJ+jE zf}$KB#+j^(>wLkpf;RRsUzM;;vPB~X`_r8$9z=MZ00<;N0)VI(x&5LztX=ZDreVr%tE?=vF#SQKgUx@jYYwj*uK&TGUKIZj zQXc%PYydLp_@AQE!5|*DpgEobDPB_(jil((LO|WE3ILTfWs1i5Wyd55+!SPiIOn-F z()cSj2(TxM(_w4$IgiEz?CXOn@DF1};Gd4`9ekV)1HZh@n;>V1u`%$~7Q+EhF9HoS zpv#7$SCAylWhi0j|3KSU+QEWkccqIP)_=HX&e1=O1s#HG!08dq0WOw)5scLFJq2K6 zl-DCpI?x$}iq04lrv20X^-q_daj?MV;)0oWe0Z9EFcjiEUw4)1r}eRd#y{$B%Q=z& zyWx`^X?Dcx!os4%!7^B2bV2;DB|R~q^B2UPydaIaOa^n-ZCreIa-kvlJ4b+_v1Enx z>iP%Qj{JjbwWXKy%22$z@Jw;bMUz+eA6NJP0m=WP;rOt)Z@~sIg;#9`@V9X30#+pX zS5wB7<;d|KWaFNwAmsF)gAbBc;py6U;De72!k^Q%j=%@rqBwCw);dTV?Kam9K@%}C zFqjA{9xG2+k(~YuRiqvVWp7kj4m@v6?Als7MKfiLaTfcX*2fp z*wE%jdI&~>rp1qRe7<}$QK0!oWH)aNhC7fVnmCSzNK6ml!_Fa3l1}$?e4@>G-JVg{ z`nqjq*mt@{J+I%-W0fal(tOY@J#Jeop@eCv{Q| znU_V7H4Pc3L+EHtLTq37Uw;`vm!t zITw{9g#W|tO#sJjuQ!CJO{*Z3v&3MK7Ts|4AP)X9=vu+s09jo#EYHPuhyeQM z`TB2slvx-ZAzkfyK9GNa>@Pso#&7&Y5IS}lAgvWOn^d5t8hCrTX!l>#-wztKWB(9* z(|uEe&~rcrK=;^bhJ{=OQXhq)p~pKBJ3C1*+X_90o#Uid!|$%x7;O7Kop6!EMxC@6 zPe9dl#V7Lq%PGvyC6~p~=n_VTC#ez~6j7q6(85n514Hh_yGFe6j3)9$9O~FVyvz(o zb2QZ@ebwZpIgR8=qgGK*B~`k>Fym@|>b36jC5d=$hCVBxv=2dAzsO_}oO^KV@7Wyv zpuEScaJfml{)6==9QquKsTUOn#d{AP**gglbwF^+4y>d!awL35TP3b;eTpGHFxVwH z={)Dg+4jQMue+DFhg)}F?XAC$&$`6H!E=}L@&+zQ)$^LV}caCp4w zA25-rSDqM<*%;;ggS({pZxgfCib}ePIqmk;aXFXD=<%_QKytE9C z7Fwj4ER~O(xmSozOiNSn%!GQZIR3bGimJq<)h5i(by#9Rt$8yYfK;BTFjrcD^H%gZ zBH8(Hak~||e9?6)@`9;^mjnR5tm$(mM~@0M{2Cp;vaZ4Lf-DGd8IHv*(CSv1Hy+XI zyM0;E5JxhMjOKw$+TJ8pHYO;d-J2YHp#w*UX1Xw;OYR0~K_=nG&4n{oqIi|~J}r^a z@a5TfeO*Yk26-TX0Whl`hFE3(qQHO`m1X{pc_709FjyRafV*YK0(OzR#z-E26@}|ZcQ;pIb-D_Kk05ui`>gNkE#coXoU_l z!_S+@7gE5u-X2pPSNfi-UU3V+HWQf?pto zjHJpvy#Q}RfOHkejhFv6SQZR|uHSdnX3z|bR<;LH0Z4%vhO8azUs>z3A@Lmq8rZ{1 zD_?PnXBF#`CT#@c*brE$ySQZOqL?qYKVdV zA1yG2(5vMODwwIYzq%sa`^(tTOFByJrtuf`~ zB1GEx$%l;F>lr2cIN`r}a~x4&u4K>|7MRA~)eN1tvj-*z-xPdix;;>krf?gQa@LtG zEcqYhNU^Zp!FOD!vt9e{)moxp=Q)jBp$-v?7bXzgRA!gh0 z0Y}8~!(&N*6isunD^)X@P`RyBdEzI55n)P@fJfACB?6KrVABf9BufISz9W3xy+pL#Z+~qhiHc=mw6W|3{5k`RThG zCEsrLg7Q5y&y)urZ|=!LmL97z%XxmT3P?%}+<6H3V4FoZ#m_Q557Y|v)8Mmaru;Vc z+S4|??){$@-3Bsct9g@kTObCjj8u85fi4bWT0>!ID6l>@i>$a$5G%YbL1N_T3iyP&( z>vCFDGQ~o#QI0D%#l>#o#;P}Wcs z2u+0?(P+o~CYDPl>Wy@VOHZua7T@M`DotUq;zTGKcrmPKvL}f;GQ)BZZ4`&qgs$7q zwBGvPtJbqjiS}wStiSoBzJ+PREg_5AK)@vUW|C~WamhwsE69n?MT>~e;c*42^|cc0 zgdtn=ANN!m2-+RS4?`jcE4HWRUE@;6tR-I6i2k@DX!k(F)a!L0(kR2YYE@&{2ZE3; z+7T~F9PshsUx;dia3ZTs3k=kZ-pfzxdRB!V>*K#29hZ{hPe)T}1XVit0vilxGhjViK<>U&B@{Iw|Xsh>)?|KjxX!;r$v*;(-0BC_Y%bUgg;|Mu5rZk9Z_$aQmI|WYTo2-DSI)d2z@G)MrXuh@9yUcKVEJr z1xESjOWQguRal5}4k7=X4pAHe9v!NEQ@km97Ig(C5C`r{*8!j@pPxxL09m~^FRgrn zf*(cnny6KXy)(Wo{9yl}6nNloKw=|mpkz{Mz5{G%(yb{DL>&2n^E9eINWV^wdgDee zomV=OsY>+%`@p6U$uuiIR>6FLxep2b&`=*_gWF3d0W|QoRyWREbP~i0{%Gs)di#(g zAgmAaU{_Efp=L_u<2XlU!8Bw4$hxYcCWl?nk{|PpHGoH@&cHqtx2Pd;HR6Lt4Q_w z%@4sB`xK~(JpQ=#w+q>7R}nE~c7L=SO%nRu@q7@X(?ntnAWpd@UM*WPz|TIXjNsZ{yRMnLyX;juQ7km^JG z+H)c73tO70(;+;j{YHNbD-f_W!X>yZMO5mU+;?`rrXUJLI-nKvbw(sLwBZs?`YVbV z4^K5~g2`JE>^*ExQ|^caYV{mt6}`mg@4Ys*S1j`rfeEnEup<2x#M-o8jfiKv8g}va zc`>uN=1E`RG<-a4VnFXb{9uaB@VuA`h@~lYfQHP3L}#jXzhVuySaGIP&0c8J#t*4D zc1EXoGNK`zB5XM3Ey6=7(}U;jHz#OQO5u1j#yZyuWaV=qvDTpV?Vav??hv2@h>^S& z_{BYOyNuhFm2>_I+yO%8S|BP2KjCf^VeT}bGP3IN0#Z!d!e=pc|8=$9sg@47cq6)r zozFHD1*z0d^g=jih;}_flYOyv_bU~;ssl>_o^GgDxuXQ1m5JZncKV0PAzP+iJZzJu z)-P=9AV@|Mu%~NqrPx%hW^RvLX@1X?ifhu{f6+`KwfLlaw$v4D6RNL@hwgY9!4(i; zn?1;v2JBaAfR^Yj=d*DzoY3H$tc89LIjPSyTNPm{u{DIKK#SN{3X(m~{=2LE7Q2Wh zE)Ll<9%j2)5A?>@eog!)Hu=dsI>$0ffVU(d<~kt$}X391P^MS9(HFS4U)0r`0# z7%PwXE-c~lj`R};54f|dhmvrMj=+vmQ+$w&_wq`gUpMl2FzaP#(5>w9{fmS;4X$zD)pcPle_Mz;*Cyat`52Nu>(qD`7v2)KV z-{DOnAX-Pi&GsVpiB{>!8v;Whk6JRKOuBoE)@VBus^@&Ru>ZMx`f=yv=l9*jt|n(3NAB89y!`POJilQ_G-q$~Bf6^WVZ#=#(rx=~EGA*psrdQRf(F0v4F`g;i3 zvV&y)!H9NS=W<$&#*Gou_|k%)(H&+=H{&@0E+drMzKo0adIpfM0T~g8x4CA>>k##N zOq@4N5kugTLd$uy$D!fgE>t$`!a7&)^IM1i!lq$Evt)y;wK1_%KHn zGV^W`!$STvVC$vnyh9z{OPB4!W$4LDZKk*WWlj5Y@33@tb#F6Av%LepX@w=g6+S~P znf@}`8HSp73S#T>x&P9QdQ`7X_A&2mwozc0@mWv7etAwxHpSx=nJJ;50h;6(7 zq?b%Hg3C4V+$zV@l&!zM_?f8T99u8`(b8VB=bB74Hgs#+uezCdxa?f)S@5>YtIpTB zvfGU9^`c%Okn7D7Z+xT0-Jvc`0EDDQl-|4gZjL$iNekdTL%>rLj>QTj!zg4eZAJ?k zpX+X&Hp<3QI*(MTFbn%74)<;K$JS4htxGh1(!;qL!PyyW`b%uSaS4vJ&5mH^XhkM_>n$+Fpdp|pxHZ0GFoqO&6I6MTk z<#sR8)yUT1@~8QJ)t|;~;wl8VhV8EtPFioH&(6rmO|bVE4H`UCBQ{ZZ=5>ccuIsKi z_fY)UI%nJK)}z>Bk=oMpYqu(K|_d>36iH}|2|MX8chbFLLEn-BG06Y;Ra*Hsfub#iG#G3A@7IjtM8 z{Inv?C1k54+#RBbzhaP*!-X5p-si2CR);M-67z(*xg4jryWpvI2d>7ze$|Vw*2UBM zG%jCS5!Yg?IbrLU@ECWrBWJBV+=sUWrf348K%kt|Qw}0H_L>rg?ww$-3-D5noGq81 zb6ZUU9kHG(qn~97h*RxNJR+PG4;F6W4_`>Pt#|vEgqbDTU&iQdyxhgO6GQM$scxV# z!`GI72rnDn)g-@IUAhDbFDtxSJKZb$3U!6=Td7_Y3Z*+;Pr`Px5&9*;J2&o2Q{BQK z`HxkjzJ6ONyG z&_0$9Unho8eJq!!$i;gPAayQ42F0vSiA~7hH|H3Cy^4{%i+zsQOZ4Oy^~|~X6kZP~ z0M;p7HrdEWLyox-d!v7N9j~bK>>`OIAiH&`{JkH1o%*r_AZ*Ux{g*>a!Z%~EiQD*B zc2B}AqT4caY|0Ygl3d!CaS)^)mi`I$(v(5lTtoNwpxAkXZytv*E^Zz!tJ~Lvfh%3! zZoR+m#}%5)cv5xUtF)XgqZM`(*L0E(K062P)})Y#SMp_k`ccnJb8L1ig{lRXMsedLn;$!4vtqU;G>Kodq#G^B)Z3M4uqlrMNpSOdCL_`kQPMQc6Akvh z5AI$$__@6AdD=f|OPP_k@fLxlm?KbvYuS;Qd{9|n6OVfgDhn=x_I*q7-K=LV+S zmgXJO7v|yK=dbT4c^^}WT|F;pX?IrrZLHK>iLAVg%}CbkGSd~1opM=CY0#lr|9?wT zM(0R24Yz|ROT0QZW~&+g4_AKy6j|@YjlwMM?(XjHy12W$`{E9ZySpwvxDK$m`yzuo zi#seX3+y+~|9!u@w{BIs(CNDowt# zr#xIUCV)l&7-HwW%`V@=F+cBd2!n)ncm#e?GcIW@n$_6nESD=@rss6o2RY^GINwyY zcW6%&F6}?I`gUn=FEO(CW^0uwUfSR|2nbF?3mIY=FF{i`>3gc982EVJvYo3W61rnT zX-K*L`D6zp zI;3tHEHWT(>YUJioxT4H(IwyD(n!kMkaWg@v(wk(cXNKdf2Q&h1HFhu4zS%lUf15u1ehUe!!2_#RV=Yk?$1%_+j0 z;DV5y#ha*KTZXI)xk?;Cc2rc4{=$C}Y(;WWrcs}y;I-r_E;dP$I(3c@M5KJ@M?j&o zVKMOUw9DOsTQ}r!8+!l|l%>6J?Je&p-0>zqM{jRmeeUh##IY>)a)u=`SM*Wm_cl90 zYIldF)stE1%dl!~WI6v2QiQeWH2eu)mRFV^99O3dL*l2F^N?E#rWjfMUg9#VU5J)w zlJ}|R3Ouhy*-RP4|3g%1=)-n_Y7}<><2R2da;wxC`eRf)lxW7iEPA^t%%mvBy$J@) zKFP9p++R_}^JthZYt#jz2z-QFL?}`B)O#}F_H0Ug2`i~p=7+)RqY*w;|R{ z#P&(wxZe_dsilHufAYT!wvQWK60e5W6 zi*U%&Nz$I04hkmxnw%$9Z^1)1jW1NfaX%$dGd&Z{J5>cH?X!}MOrlAwqPOj%3th)& zdsiTOKjYah;}0=9(wHpCh5G=I`%`R--Lo%Y)heVnyb{DXT=Vt#V9z0Gz3ObUV64ih zSDJ6|GS<(5{-#K?&$6C(p|ckfdMG4e2QZ2;!wYA>c_Y-%9&7})1oxU6UuWZ4i*^@k z(-*t%&29sIV6dfDMadJo%EpbiiF!vGiPcNz^Oe-&VHHsK)GuQfI0lDGP6_vo-D9X| zkh#H{8R({C)q6Cg!|$xnDFr4{5axPxyEChi<4^%N0i$S1;~_=`KdJ zuBOu2w#m>es;meg;jVkOKm8j*!v6mz{Rmk;>Kl=beiB^M^?i^__XktTRrbu! ztK;s%g~crOs_bQFV8}`R^G(kOPfy=$rRhhI#^d$Q=j*{ok*fHe=^onKUTNl6lN4C_ zLl}#cub<_JX%k2bXa9v7dt6+ zY6pgA8ae|{I&fKrjsScDvQpBP37qvRi50b_0iDx=vz%z`9kW#ifoYyYe~&KWx`n)q zAgx?0Q=%z65&hz20d~o8>ep^r*{moIK3zjGVu_QHEKlw_KXvhhfkk1F1@Ru8MYbXzw{tT1$N^JonRPCKKwCNX2 zU0?L+L^mNuP#5z2B8yUVJ}~T*u=FROSSMf&Qm`FbZH<-l&RX&$ip5=Xu0N=K1`Tg? z_vFDknvp69MAK?sw}gP7Gu`{*{pzVNa@A*y+g9rVTkKzR6cgJG`Qkk)+lkocu-j0l z%PSB34phhes!Y$=m4aiOfn%%#W1O{ImEgJB<)o&o%apgdn#p=m8uiw!5@?bg$a>ds zE(_`syop3FYk0O`CJdZ0mz7@SF<#;^j(Y;_INDVM3(3&sdtL+R z^CzqUH2WLqsMem_o5o<(vXMrno#qRV##E0`P|+8iXJqnsD-;;h%r-du`6vm*43dn@ zN^Z-mUb}0aHN;cm)f3i6p}1fvs)&GsL4mfU1k}((;p&j!4fv zc~67X^koe(T+ukvXoEP3he3Kz(Kt~8Ykv@+j6MXLmIHhdsRIiFgrD9^Oz^?K!cxqU zZ!#ScL5|bC3PjAIW0%Cr#4THjBPfYIwK<5JJ}m#%HTyP&ICBOI?Sk=B!ptNh z<2PccB6Je`CB_;B`mCF$I2cN@tT z%H@WaZbm=U!q-^q0)50TTV9zwX{4GZK5c!z<~sd6;s}p}nhXuBmMx9AeEQL?yv|HM zNxXF;kCt=$q?#9oIh^;56GRt}iev#7OV$A2;F_rd%P+w-({+l~M|J1<2bjS%4mk$| z|KsHTdAbmF#)!yRewsX#!p7RF+I$&^z13Q7nhaN!8mGz?m-&~YLZ6VKTW>A?&(xnEZDKpI{ zOtvVTo-uJB^1;87ERdCyT>HimZG8(KeaGS!HF}5|$jqqN(1iy%X9D8_iylc_l_$i} zN^=4#x&LPz-byYQ#PA~}W?`_4;dHT4nFZH)nLcRhSoagM(~ZjjLU(qilU`2UJN z1uBb*q<7~y9v_$w^DWQM^e4Fft6s`Vu}!K5*iy{>rzTnSpIT%f_7~l`prlSTKu*3o zy(^rdQqmc=#}A8Z&Lf6n)*=Ui+BX#rABz9`lmR*2zBNzs&i~6YVXp+igZOozX*vj;9DDC z3}his^w@s3($Q~WXRrJoV~*_WoG7s>EE%?g1Cf?eea)_f0mUcngE;9>0eYwTf?I?i;&@F+BgfxY`r`at74^^n8r$Qf`<9+flAc zm{(v-Ybww4vtk-WkIP$|N%pIMdfezOKo7;`C}x7M5yj=ZK2PwV0Q}8036f}JYYa(-{=eFPuQ>DaAJP*k`L7dn#)c5a^w?pwgz?iy9M|Z z-wfc0=-p*M)Hvq&2prYddmgWHuoe1h8vXO^XRoSI)X%J!wAP`DX(epV!})!uBc;<0 zWHL0+NTgw@-b%CCPT{@H0y-LQw6R=`rDpLfcH~C^V>`;X*A`s#3^T-fdeJ{P#scb+?!m?P(9E1be-LtHo{mH`t=-b@URO^{#56uO z)!gRpBmf62oAj}m&FzRC&IH9LCMqqmhix4K_RQ$FfYXLLWQ9O!{RI`)jYDR8B)G(7 zOCBm18zd)B4!|IO6ybQ)Aim!|Jr6#WDlq`o@-UWa*^K!JcM<+FCuOyWW^9Ey6_dFx z*6hIsfl|2>c5^2x~&LjYZFGa3TgdZCB~LL#@vu|`6T_G%pxJ!6usWQCkQ-FuvxpId2= z*E?9cY;t{Umd%#C^9_)bR~xcYC9t`2^l3G-e$3oa=!ohGeMO}Bt@sO+c#cN4K*}Gm z(X^isOV&>h*G3TMoM*}p@Zj6zTYrI{+A8Mct5Vu8P9z{$D5I6465?DWPR{lL0WGdZ z`*8^MAJ_Yj=Y198?)J1JJ%1S&)imVZ&6hbK(7Kw6q!BF8H5EBpG7`~VHWC>H6VFNk z-;y0`yZju4bPluJ{NTG|OJN!6DztudZJ1HO;TV<3%tH~d7m&NNsC1KSZyH?@_Q3s> z47PQ$&D~jeWtqE7)+~7lF&K>x!VB;`@%Lfk@1N;z@H6?k1CZT`Hl;uj7x^m@yT()- zA;>jd#x642#ErOk)J&(9!c1p zL1MsqOLU79lVwH{S0$9R&kZ)LZVh*!%D-5a(=G*ajov>*m0o(^MqGED@b;r;QhF93 zo}j-xQ(qfqD`!(ZBzf8kne7to!|0JLOWq$=MDqVzon0EwP(xB8D9tsvrqJYo2jL%Bo5L{>SGw6zs#tV>9!#unej1+6V2MwYH`+H7*{BujMBlN zxOSdcALT4iXlG%_>pIO-zOtY(i95nzFlehwmIGB)xVBfgGS+P~C}%#+R6aB_B;hM3 zKB=p9#AIR5U>kchJt|8?ADcNcmetSM7bn<0U{{s^wpmT}Ah zy9+(;fiPPc>Ft+4NKF-ZkGDjfA^48UZxTb@EoUDWr+&#}a842EZ+NuPAePYmt}LKX zYi>h_G#OCFjQlp@!r;8TPFSwY2dJl*kov&2Cj620J>NYl#RE8v!qJ+}S2ekU<@{}p zxjr`Kla3c!x;;s%$>zA6e}08Sx~M&gVWrJW3Dj19aFkvz9rsAWTc@3p1ZT6XS8-$d zRUwy9T~RRvrdr!HrArk_BVS6wL6K3;xfrI}xtsj4iC#{m6j4LydoFa@Px3a8oaKhV zVWsL&@WMgVMxk>nSL4&UGNZeY;Yih10j01LWOj&MPRb8ceG(bA6kbFAn8PS1Vvk*3 zgomhs_A_khpq{*K5wV=B6;{ap*G);^*u!=QCbwdYBtHVLGDE&(oq_bjmBNNKQ1h!b zKSLMzXLPDdFLm^GB-6}bdPcWU_BorHIl6O+@X;RYj^EqetKvIM?seSXR=>SzUwZ^S zRgAuN)bs?l7yS4{Ox+f}@lW-UK40AV+`sDGN7iUz>!#hASQ3arEk%lWTI^PV?g~A9 zXut|>An%GewQG;`5tcwr7{YyOiac{>g^XmmG(!^ltH9{Wmfdj2u^7pHIb zKTrIFjdHiig`a8m@ODPeKvv{UDybsmYJq@^sdNgS4)#NQBCFj+uQGwsBdT_+pR9W`p-izPsIF&Tlxeq8>CYMx(nj4_ksm9jHVA#1aOossG;ZsYYY1VGGRomSk-=X{gDwuPe2 zx~pW=1Q6E4WD5SjFsqr zc`AbrnI#VD<>FhQSsKi{dT6dZJyyW4oI)bN;;ql`=lu#&aeh9fIK$Sa_Or42Nqv+U zyh!G$v`YTF>$@!A=|I4w{=!%*czntm8qp4*#u-swr}}arO$itWeIFVj!oszl)Hecs z^HZd}ahUL|fR4j{)arQhbZu~VJ|3p*!uiyBWq*4!tJ-c3s zI!x1Qe7p$xL4Vq++!u_SEept#m)Kp((V}17$;)kQk^AtMbl!uLw92`Kn?@tKoc~5x zkqtyAGS)g-_>A#JAaQnfRm~KHUqkY_t-Y@)F>R-eetijsY_(CXStCBRiIWbURx~!o zU2PumGnYsrWsqi-|En-xyA`5&vNF%mLw1iEXZ#~*rpm8k_HZj8j`b|_{K@>IvRmHy zH!`^(0R9GkaP#X*FO@NE-*iNSrrvXzcFC9hz{RE1-C+>D;rJmpI*m&O6PCQ=ZSwGAvd^CeHWpnrDO-V zP=r+>Os*Vu;O>nbnr^o-fD~GW7MNC5+KXTu8vb82n_ht$2rij+R52XbJ^Y|IoJ~}i zn+3lew+C@C^%Lms7MPeI@1!OTa&-fG`2=@$^p|2egBx`8m}xE$S3?6$mJRf z!IIqE#)w8SZHKL!hxc?3D^$s9Bz*Eh29bjBWP;qIrcJ%sjv`twE0mOWm z!7eE?V7OBj;~MSCwOCeacH(KTPHfPY{n4>khYbeEY6r)QbDbh!ZpgH-tHd{Cn815W zH15ExGeF2I6l}-jCKBXcUgs04cg^$N<-YB+-yc*5YH)+E&%;f0)n3vDjJHLN@4Jf7 z(wMHsB!OCjL%5*Z7AS>APGv!LI+(?!JWp#E7b#GS0 zugp^`>g?+22J1@;l`{E+PBk42hD6v(_}J1&xYm5RlrZrv;4gn+((B5V@Pnlp5|OEI zs3K&zv}H70lCV<1tX;xz}e%7FMK=xx> zs+7UVaSCqg>xdg=qYGJ)?BTOqjFcBnBHM!}wH;r^m4#L8^d`j8#%5My{px zS4KE?q9%7DF6&yE8T{P!oP@kQ&Q^sJXR6kdB7`p=-~X^PorFI@?&81j7Fwb6^Pppq zFfzyi*}pLbCI3+iUET)`WW%~-Lh)dKt19^}g`(bF92LWEeA9Nfn%j(WzQJAPxLDkv z9Nwjqs%fJI%p~wI`KjZvxJ7P=#0%w2zZMZGwTbxC+Hj>Rv>oS9g%Fy$-j_iC(he7! z^KZ%5fnCpMgW#>;r+j6sI$77i4PC`kxbnrriI0{5Q>NZV97G|Jja9_RF4C)LZfuhP zU?Vr;nTWwmh_2tG7arSIz8_zT@^2|{+M_X&*R$U;MO9{?I5jk8Ga0MSQN|_zB28|UDCu4D2tia5hF=9cb z$jm!GVsSA0_Dx^73UhP*f!t?`pA%8ZC>fu4JlTty_r#-Wwo2>T2xX;a8d$kfDu)OVa_%wsL7@PN^EDELTIw(PNsBYVp~&_lDbl*B;+owlWBm z&_uP^?xtSss;F|6u+V6C~2~KX)hvCYFTQ6ocYz(nt)l- z{_*%$h#7BfDyKTqD9PG^DVjL>o}r~F0-wdg9)l@f&oH9;*uUP$!Nc5&LM$4AD;g1C z+uGlyJ(dbY$a>KSIZ*r{XiJ$(Fqp8FVL9aD%1hYzJp;x$X*s4l+|31?6-=M$~TZAh0&LBpM%&2%0OJ6{gTNsrWW?LAZsyh=`UTQn4e@(@h^M3YfY$pVFhFU0K$?IghKfiuUrdt~;h(0i$NGco|mW z0k;JF2$b}$3jzsfnSEHF78LP}gyPfO@;m>?IS$dB*qyjff~c}dJMShEWlQz{=6y=_ z*iuMTFJ1)46-2)(YFqogwo+JYWZb2oHD!_4oaOaBLClkul6<{??ZcdL6|NbX0(?%D zJ3=2Tn$YAlvS*r!dgz5UQI^*@g40qtRL$x4uY%-zXjQpzYZZpjrNO14(P})k(QaPF zwl7=|T!Q$M33-}amf1FnW-DbU*T0x+ejvUeBPUYikx}%G^3OP;-yrw!{TUpPg)$}l z368U=gT9OZ6J(N?PwoQDwt$Ukto>2L<$|p$fii;f_{((&^Jhu$t>bCJsu<8VFn;e% z_8pnXd8U!NcJCdsm2kFE2rF*9DaE`fPnPCC*9R9Y%^4d4vjKvy3f&XA-{sS(o zU_6=TK@=)&_Y#_{EVQa+ya|muh48PfGP9bYHWrfKRgzpRJwIz7dZWtw_LbpE1vR)P zw|v_#sLwjpP=CvbzKP0ehc_Mh^=mL|=T8AWv#Slr-ON-|{M?M%ZxZy`q`jlP@d-G5 z07RwDi>M|_`rqoaQl{bI*x3ysjB*tg0Fa#)37%V!(NEY->$X{u#r7nvVR$X6)i48N zx#&7W`3k91cn8@|eGbT3% z2di!LD(iKO98hcSUHd3kw~ku;C>(2(@OuSxu#T2HgBVFRX&$7Sw)t4 zEbJgq#PGN|P%v33XxOpC5%f4LQMq)}>_OYz_^F_BW|&crY+y+=(-NTmL7GPJ7$9e} zbVHG=-XCo>wlZwS=RNSZMb~W((=}2BjGEX%8q23n*YYga<0h2qJMBYx>xx>f(i0-q zJVuc}vcD^c86U!o&OCUaHL1 z3+BzVnv*#*V;3!YbDc=WxEBJ=dULV=&$Mm$&w@)x2FKg@NPC`yq-DGz9~D&PG`jwN zcLGCu;5>Sl{j3jtN2S*O=96KRl-VQdre0d6%{i6O z>^Gy4ISgp6YzPJ_m~Z<^D$+Mm zhXrtk>DYXR87xzSE)b(LxYkS;X0{J1TI6?_<4$_zx>DTv>ri61P!?49+-HzrtydY;hOaoFB&4$?2ynRk zOTEIfzV<9%$0hor6giy6OR{nc7sx+kNg_64O9cK5fkOZoe%nyH-BNb5=_?|`yg$1M z!%x^4olJ<6;wtlw=PdKi;k0beth5SOZ@$>lN>|5_TB)uVNNT(cUJ!Z`D61CfJYZntGVR9E&ST%o0d+dRPxUwdZJl$n`h?QFee_-Z=G zoJeMjd2wRwyWGX;EbM-j>M#tTUT#;BPa+lYEpMdaV-mziyP+ANdU$CP5zb0Z?jE-M zI5iUO2{AL$7MFdw{ebd~`1ewa8CVZh%+Hec2+;^j{y|n;SEUWN^a-VL{>3ZKOBdQ} z`AfU}j3eG?XGnPSebp-$m&2@b!k=&&%N41e6|)4*9kCLu!=ohKsA@E|JO2YG)(Wma zFk=iEVZOZ-{0ELujeLlU(4MT z_6_MisN7-IL)r*+@1Q`PAD{c{x`mQaaOoeGR@@3quR#T z0vg=ZNqaj-Rgjc*HIzTUkYSf6QFohOkL0=YdJH1SDK`$#jSe^J_G2j5)(eT4-N?Jy zhtE5cI6o)TN*+R zAw>X}DD~1zM`S^`oc}o?4C`wbS9_z@E zhVa^7B#JSdrMUfDB0s3Cml(S;2?qvr9;n(AS?y}7Zj^6lDvm>Ex%6cYkN&X6kTq5I z>Wb*6aY;37fs8+FjNL4+)dMKL9PIL*UU@$ig`fGo zte0D6Uz#Ip7SH*!8m+8ggq$u?`?)mB1N7bmOQgEIz`+_smY<8x1IF(=+dI9wXi~g8 zQVfCJk!!Q56GcvIhssIWZm@hg3u?ChJR6JH0h;L^1t}MOgtU$)hpJnG<<6P!IF9iN z#`fIli31aBelqKR$6J0-p<)QjFw*(lP7#6i&VIs5O0(@`MTg3>s2==v>;kGP z-Ps2VE0pWuYvl(t{8ddrLfN9>jqOp>crQ6VfHP&jOkt8fYLNumjvBu36>5<;oN9M? z?e<`+ox!bPW>Qg{%$$CLN&&y1C4JB*p~n+GVPUgu_a*N5g+qARobqM?K)qY(8XWn} z(#qAucGvMiWX89>{K3txHh|CM*`?rmn}568Ew9-vuivdJJ6NVwV6)(qX^q#mBj^ff zewFZ1!IzU&)PA;k#JhT5IfOzo68q2*of)P>XfRed2PxR!*V!H73q~xg$85|ZjO!26 zR@<_yBWKxR{5&+2aI-DPbgieDhi6&UnCAK30yI+mpLE_L!?Id+MA&9jw(@`TEXR`g z8;Ekpa!X3g1s?BWnflnsl@UqD(#3hn>9%=y7NAl5&q-ZzQ1B{Fd%xf7pD$zttOIt} zscECA1|upR^^1h3ZAJbpUX7b8jwlaZ|NL3-J~rqnSXPUV2!Tf7S&sAWC~jDfQ8%ng z_=(uDpfz1RIQEq6sQ#gS)nT4?X#6y`PZM=ZaZz_jOsWoA4#F-(s3XvCZG1bnYJKx! z;dAcD(7TBOY}lzy&z=Ai3ovGDhfm-x5|&#uDY}iicppoOE7IX+*prKdACcOQjNv_E z&N3`^2kdBlsIMdW4q-<0d4+(!`9Q(o*KUwhHZ~*0cCZE@0tkkU{nrdKu_+4z!N$}a zl?JCKLaU^`NsBwOtQjD+uY}dNnJtZCE+CenW~F2~A_=3;Kx3Aj>6(iZaerY#&X8TK z91{2Ac4Kr(wV6y9t@h9iU*5_EQR);(YDy@t@89UltG7Ca`U+Q9-_8z5$l5`zJ3y^7 zLam>r*aD!+3fmV7ld8N0Vb{)bd;nB6g-K!Ff`}Vu^mcr*5}kP7*qI8*zqW)By|AP1 z9PrwqxYkjB`3kXfT@N64mEr4~%ZX;-O~93=k>u?8kYlce#+buV#*@T|dy{LdhsM~z zQIfTj#;P0Dv#XK*!Ejm!Z-l_~*~>D)M}|Imi;E&A(ZH69k!m7B-GP;5F;}sbrtGa8J@W-=-ny#=IXe zmz5>8dQujiH?cU3e(u0SKXL657w+~5wS8v$)1#j1*5&Kz(XnY-j*=NCa5?AGUHdm4 z$fT2cL)Jk0^h?3GN0pB!gLOxY+*nPRf}?3`J+xvtXbuswi-kC@O@m*_lPH6Y zi1zmy|*X^m;#l3f3RU0_s3kYRxhWc z*mjD$-%l8vTMhi49E_af4-}7H*6eX$#BwDkbTyOD2u7z@g{n+r7?Ya3uQM39jn*y< zEG82pN7lSQW6|cHgiK#-q$51u6{YBb{6@d^U7g}fQpX<$-$N=X!Pg16atvV$-&k|% zJvp&u3I3fb&2K$ARc31+5mcF2N#=TpKQb34!*R`}&a`kN%;QfV@V=h`VZSdjJw{L~ z>P?9IP?IAg=?^l(^=(G~#z;_Ml`8SH!YE;iId1BfOl2!R$TZ;obVDB^p%3?hR?En0 z6lKi7fmSQP%|m{Qr}_|$n;M{BAVTp>OllGorGPdspA8MRT4Dbo5ypOp6SyKj47K|R zZPBP9$5E2)nT_6N{hO#9@fb@0UB-o`Xb9x~2O;>oMfxDrPzoxlk{|<&`BGLWT2Xa7 z@@*60Na~jG3${S2*+X^wb+ZD*Q96^6++pOP&WY;jVH4b06V( z1k}u|S&<71fgE)j{7|_B1TBI<(0>a*jT7+`g^ZCvRQ*kH;T}l0VRCiDi41mRn8UwX z#XR_l9dC|6+fFZ#2w6s=&>yV#yTbdwALa(XmjNNPaVzX6c&h;g9IT-4@LP@evQww_ z{!o);mD=6wonNq}HALnBgf@%P?02LhMTGv|y@X>WOl`2k6X^bzH33CX=n1gFb0AWwK}6SxEs+%E6G zb_V`k(-qa={$MU}+?=syw{L^xZm{au_dxPef$gI>e7oEKbv%3Id!QhEnB|RUHj{*u zU}t);aP3Zke|G4ZU7Paa zne7;*>eI=c&@+C;Sd>JwS*Th;Gs*4m>(lrP_~C|~%oDPJ<6?85*1 z&(+DTp#$~mumIpdQ+ujcc6M@b{tpp+W64hQmA<`lf&j7CbE4=S1GV=>C$r#WonebV z`Oon7^0qc(e`!_DzwBP0X&0;UHtFt`yyBRY_ZJ|IHEW^!2J3of%iX8dzW_HV^6(K$ z$P#1ywu>eH+dU2?r4)%Ua(E4DJy7WkV&O>!VxC6zVwgtuXPsR&57Xe^A7aTrRT=xE!EOhw@s8)&C1pPd=% zsvKIJw0N3%8Ci8_ZWHtLB-|{~LMN#;i;$9SmP?#01N^Ad8N|$sN+zughTy2}?q|MC zWw%LY=vWfuO~%+3tH~U}N~h_caVCqMKR0A3Y2b!3I9!{><(cM`9+OvAQ4D7EmVr4o z52PXvT2Z--8HIJ+KtK;~IN@g`&|_I03sbWgw>^*@^mq`q0@%D{sh?rVN^O1U+2#q3U*B9UI+T8 z_oPs7JS3EvbZnh_h*xCZgPlhj69K~;hu`$_iBT;r{`L!pFt?N84GDWN%wY`QWooO} z=VlGp(61mrn9qnOJ0eH7T^rO6Z5#M(*L$sQ=VA2c>zw=chl$nwP6p+?ygz-Aagkb^ zYFSr&E*gm4tZLc!)0TeZr978#wX)Y?vx_uv)aggrE8_upj5iyDE!uD#(~4H8Me61K z4bmSBaK?D#lN~MA8s+`_8PBvcXO45%`$aqccH*+ZegE^|>n~W?;QR#%)cz7_XUH!F zWUEFe>?%!oqQQ~0biIBjB*1GYwZJ$v?`z2_Zr1d{h_{S>{BcB_aTpg=p=jzXMDN|l z+UyY+D>AKkNo8U&cb6uAo?_PgH$Z;tSaILQbV7L?Q9>%-)p{>f`!|P?j!vM_;OZ0_ zocE}dYR|q8ldquu-2bSqS8UdAWs7s}MPcsJgWvNP5^>ifOyAsIyHL{JQha{oN=Fm& z=jY%tKGVftIDIywKYz!M9(@JLsGuG{5B%S52_Kgq54c?CS)+AfCHf?I4jf-~VKEXv zuuTcRT6t&$TBC!;l;RqZDL1kvM>Y$J7c!4x281u>j2=%uD>gZX@4RA7Ol+H6s#!PS zZ;v?1T?c1TUj_g&Bv%l2SjAT?iBe3{KPY08gDrs60~NsxA`6pVZxu^{>2qj8g!>*| zO#OI5d9?^+A05;KqeK|YN0z()KDpZ@Eh+|+$YW%7YC`swG% zK0jrBmdYpu%TM8f=8#^HmeH<4xdnkKW1s?Ly1t-&JC!Ib(?U*eQ6pIIr^6`&S^r?06MO_||t6KIPc zF5E|%VvQRrq>B_%Hog0H$2Y7Y0ETKDy|3aJ!sd~|4GQH`+-u|K!DAU2`Bq<`X43td zga2!pC>xA1-g}nIPwN@WEsZs9b;NqIA^)dNCooV%V$=Qk^(tN0@4n_o#_Qc%F3Q`3 z+23=T?<2G^9m&EI!IsFhk4IiA(0A@suBl=hjF}eU@dXq^> zv%8th?r!-Xv2-)&YYv7%Rr`yNL@`qx2PmYdq<4;P+i}aFpWi+XD??ur#s4}NBr0sP zi1Eu83nKT`SyL%!@A-XltHLkC6P{=cs;@jr7qkUxYXjw+Q}xxEU{VESd;}lo7%oUIUf3P~xg|x4P z`-MNK^{ckh1{0$wCkWNan7=R_y#G6U9~8r7l34EfVH$n$df|2R_^J165OZLC6>dpu zWz1S=#kyo;#0c@--A|cdY&+l(DvB)yJort)PY#A@4Y7d0zn^dN0VAq_e(-YD)x4`a^6VSZ z;wNt98E$310pHfplCbKsYkWdJ6`ZSfME z;?wPI)3I4Bn|ZuKwA`%3ScK+D2#MO`OS2mfymP_@Ra`G9kz0OcLibiO*}o@FbuP2e zb_1*h)(LETGk~^Ntah)^b3Y6+k=Xy5dOUTotpZjXy@~mf*zQkMZ3=May=MjIK4FW_ zV2iw2sQ2twVX;Vs-lxgWRWKnepKHPgI4+9YViAEjHNBg`;~i3;ODDEwO3)kL2ILOP zJu-tmRBA$gcAh7hJGp8n?6brR=AM`WaZr#hhyq!r#Nu!@b?rpBe_-W1+B(n5o3>yf z?U&rU5twJuCA74Pt4J33?bc@i}I(s^&mL$w&;m?eyds%U z{z5)k)WyWb*MRPu9W^~2@(Y7CZ8!xlbL&5aV7vR(2_{S;q`LOAS1nUs{XhRMxbWQT zzHh!7(q>V53AO5=Zvr$4RLoPaKvX=aFmgWfYT5`)b6ra87q5{l7cB$GqJQom<;Vu~ z1f)@wlvW`w!c#|s{699|9~VZ+Jw~t0G{{*ysU805l?fk|KGzxYm9^Z|gQ$E#L>X-t z#4VF2x`Ym@fPeQN;jB4~txN)DWfqYg{J6GcuH+s!@n3ielkdZ3M6ZuLY3pU2ad{u# z^Ypi~J05dO@5;HPkK}FRrqXAzGwBK$80T0W1#Y2PLOw+muRh-WyLy5PrO^_BhoSq8 zq+^PLjlkb5@Rx%QAi3YN&Wh?SaRbK2ohy|J;qo!NxdCv;P=}zdGe#eVCkmu)Y ztibG}Ty@zQBi0dFJydTd!CXOEDNR;#nd5a)JRX5r_A zX%9))Y=-NQZe<`=pX$wplAYxoM+uAMcmyvI3D!otr;>Nl(nsfTp*C;kq+vw&5R3`2 zj27Gl#efAc-Yk0UR}(S^{3KH`qQil}NK@k)vRsVvj;UZ9NwoB9=<6A)6LQ!aCjM(9 zSJq2ouTJW}nv28_-EpY*{}1V?8yEK!i(Bh$d+yZk-S)2FOui{2LVKvgg>rhL(@Q}O zGHsBZ+|y3!nh#LMF%lM@=kmXYLyjMrsik+nXN2DF ziId<<{+jyJ55^?*H$=i8`6O5BVc+bMaNod;uT9h6Gy=XBx2>~64T4yuMoW#Ouo4Z= z7r$kfxDCiu8^hA13a$eO%M6SLU5c@m7~jHJX$eD}RbnL$(F*D+q;`@lCIA1_JyJhp zC!}i-kN-8GcgTBNt@&Yd#aie0_h2iZBf}eAJd9IW>HD6Qixv7iV&kKf{*#=#en7tm zMA6!$lFuJ1?}dm_`hN5c?mfLO?e8v#of6R?FYsI(KHSD^okS%y6UJthSrpQl- zw60!GQV`F5J{VQt7{h<~jeP99c6(1aE(zukemp+BKdr92FwX;QQ@LUyrWFS%kM3_LeP4zcBP=g+MB&5Piz)koAQQQ}O4a?S7YJ`kq3D1rOr5zG!)a#~^nYe>t_s>L0YTdpD?@zUbo!D`ujfhY@48w<9hX1sMThQ7iTw_Tz|N zA9ZyLYB>_}2l1YbGPix`lAI3g`h-!H_xkqii=DAB$;8|*?Zma7iw+&flgSNvsp%io z>8eCxz#)moAb2+KJw}s``~Dh+TE9fP>e|x1i0pEBaQ`!AUwV3v$t!UaCz8G2{VkM` zbi6s3?0w;4Icy=%YK5e`mR6uGu{}Jj(>hqibe%2{gCi^X<3R&z7&VIQEfHPm=+M%fOPoU=f-51Bu(&Q3) z>p(Wp-K}F^(p)xN9mH<&LtsqQl*jH7J7v+a)xfhL3mKZ2i12_K{@^7~n7#|Ndbtey zbfOt1sYDF78N4sLej3rE*{OR3{$FKwPdN5zG_xGk0bPO@jBiq9e{+hC&xbgaglB?$ zpc%y=TDd7RAwM*WmKXOASX-&-tbX$hwiM*TicUiKTR<d5OOFi$3aA)UxNghgYDr0LvWpU_KV zDw;{J5k{kvA|M&%%~kFy5q?QtcXHB48NFXCJ^Lci8$Vk6XK`1|CaIm?#FnFE)|VtG zHNd-@Cu-1$%nJ66WX~Rt(wuZd3nAr;)}eEKW!x9L@Yi}*{AFGTi@IfOGphd|Y4-ptYtStWdfT>b+s4_p zZQHhO+s4_pdA4oawq}3#-ao@kCYhw`t<{}$ciyCvuB!Fas;k(p-mbo>1oocPg#9ju zq}{HM#9bTitl_F6h-y#`D=$j~n~hThn@$&isXWc8!SbWM*kz&K<~&j!Njl-w<}Y)= zIUc*^{I5ucbxgu=)2X9XImk>GyYE|yQJ84Z3K>3&BOCh0@5Y(M5!5L?Gi|Q~hKof% zz1z;_Th~sLAH00Gx50R~idu*KQjn?4J-y1 z(N4Gr+J`YB^4U?Jxe!QV=b$87bZ`lvI0sbV$#r)x0AUVA0>qLpSCeu!J3D?;jo+;o zcb^yHy!__<7gBOsXUO>+XlyJuDmB21T5y+#bRz^~D9+lWQdoD%u)*5xxk@=bl&tQQ!vbVm|<`{(x6yp0A`A+8*PYLgE!iuX=(=^ z0P97lL1?akU z;x>Lz;>BKi`gBk!)8(Hj2iza*pmCnzeitJK%v+0JD&$|5fHI;CWkeRjs4}+*T9_A( z#DF+x_eU|NlH1Xb+1(r&u%&SA2q4= zdBbrpC*I2G z&2X}*`+LQF%_nru5j^Y>!t6#FZ1`WP}mYWbk#gZTRqz(-bGe~Eyrvq8cpqRIO#25ZTm2E}H=3i<#um7RMw z`8RE5!E!p{uBX9>l1&b$MsKLgf|)|BD?{OhGhseO*+ z@+^S>?9}iY8A}9Qe^-2uDyFIBXgHCLY!HP4U;rB@LJ`4yzyLmhL4|#UqTDkhV!;|k z%ceE8@O`aiGP=$AI>V0-m$wLlq0AZTzfAb^E(LkF9rbN;Ln~Fw9+z9NLrJ;;u|Xr8 zxsQ^rM}OV!GXpqD&u823QL%}v*Q+^*fpN~1i&pXv z)KG!j2LWOB0Z+cJ0A?66#Ph!GuPh)^GKLEGkiq?w4QKtPsF88(K22qS$v1()TX z!QF}~F1$(kNy{ILq)wv|EaSU*c~_0@LbKYmw8fsr@*#YNja6tjUI*xX_nn85h{aNmeWu3u#em)KM{R-o!y>wJG@mX5fgz;XYa#bb}*JHM}QzKl*g9 zf#w#ahe54}gqWK`*2iHPiX=T+ND$8}8|I<}sbWxFU8a7~8Qc$aPtq1V3ng$)rGBdt zTqU$r>nK@P@HCdH8aqmA3bh)I#Hlp+7YP~Jx(;&jx=ytz)adW_zwwYFpv2@kQEM-1 zoX~-ecaE!d*(oSTzL8qV04E3BK(a7GnY35Ud}+iHP?*_70R>Gc*{VgPI2y3O7UlZp zSX_MmdP(XVyg8)|g&VOINk)rhsG&ZR>8DE6{Y6m0MjH++4$jr_@`e z;o$P;ITYUhp4v5)R<{-HDpL5|GZT=g?)0N3rat2Ju9hJB{fa$-%Tp=@t7BKYhod9o zp_z@Eza@9Ahhl)dxHL=Ml{xSjsBqvhP-DTPp+7b_hL-NkZ4R11O!;GPl0YXajV!=!hG?)mD`h(+THjc-1YIh$#>xfmqm17m}6 z^-PfVyLM-GGd=t$Bi|mN65gt(o4g73=8xu|{(&!qjVXF@Z6aF41*`Uk64_u>lu1UE z*XQ?wRj^uSv7E~MQ=_p^&T56#9NHuE#uRNyW9uak6uwdIb1sO=WP1%T@ey}%HhSS0 zt_Q$V03s}8ULY8XfGKPYm9VPaKd8X$?degF_YYxx5%t)nJY5xl>X{LMicG^FO6Td6 z#2GdJ_S{T#D}b$EVHi*v*aOA>WirJtb4o!8N2704qq>w^Ue!(cl)6Fn88js}xt6wf zn4}z|6(FPx9gAv&R$#adlwvU}wA51=jSz2+lEU}=fgn2zOR=w9RS0|j)Q?L zNKf43!%mxj)p3v4mZZrJ%+0cL?e0V^!D&qEx2{qJZMuq4Ek17(cl{>ae%RafGDp#%QtHz(;mKo^~0N2{HJs@=PJs_yDJTRb5 z@{s>Mj1^>gU_qGTffZqv15S{zA!v{$@66&Tc@9n|@=ELma%*C^R?h4Q9B8x%;LD4kilD96iry0om{)p`kc1bXYNi=@#J!Y)|92YYa)FGG z12DG?&l?Qzh{DU)w@1!9<%d2*1CPgHeeS?} z)p1~mZ7e}Y#}VT9*Tr5mK;V!;yWNU#w4;?ot%d@pxu$C&_P!^oi1TVysfl1?5a)YS z`u8ZqF`t!~3$eau@x1yN0BycwuJDv=R#6H3A7=MVkZAT>M->n7v4(b686IqP zwzAVj5PGB3G8JEbd;1HW7Z7^Fw=RACxV}$EZ)_#r+wWC8b&JfOoRm^Ac5jou$q3zE ziaO2Je;k<6`W=FCnwR|~J>W^ap5L}0(*_>r(@kkcG?;%L#Wf*;YuMV4Sp$9f_RN_< z>-ISNuP6zUYG@hCQ0~VY0?cR&(GPy7ez><>5a3MrEVnW52JZ}yno zP(&6b0lO+$8jy`IBV(XD5ptN~K^+Hrovq=MEH4O++5mRXk=E?g%rf z>v%R#&29Yymh?PS6dN%^s7Tnm8;CR$ktICF=NsPE3(e~Mcc7*3Z+KqD`XPCzC`BVI zuFPxyA%hk;skkm3yvD{(rHl}g)CAoDSaYQ>lIx$4?+$*==nAnK!DH@6Z1XWjv*>aD ziA96L_(C|rNO)%MgM`vG$gvJcUhxURYYh_7bI48bNvPGD-4C~DdD(<0STXrj(+Ltw zZ7$+8fx!E6!i~d244zrNhqy*k$76l}H0qTCqP(Y*k9HIp2bq%mp5Zl_pC%M&vKba` z!gl~*2wQ_==C3yCkz(3$_^skW&J6JBWfy7uC8EkL+~~W%BKA(fWUhK^Id;Hfr&zHA zZ;A7S2+FD(un{K$uSR}}0uX=cZXk@Icm0RT1)w3SOMg}MUO$@c}YT#oYFYp+l5{X0+!->TsaMW}_`9jw5z z+|qzPFsH%-YRHhS%CO|6=G2BJM^f^_QIwWS|I=KJBQv2g3e84^6dR2Q->68pt^b-N za7yA=OZcoYQHyAJD2Dev<$p4+%K8&CIK=wmH`A;gStPOl z@!=?+L?y-lTarFq7EE!bg*Xp$;eb~9D%fIVak|n}`s!G8WbxYGSo#Xac4U!=$5@&j zg=Ledbgi*7pd#n5O!`Pxy6wAm6lDU#);y&WbS_LrPYT1*#g1oJKv@pMA0DyTlAQrZ zf>g~(TA?m02Tp_qLz0z?g;;Rn)P)VGO*#*dTU$`Q3I5vM1*jgq{x^`{LvPO323yN_ z^3je`&mK$BM)7nj^r6v$b~S^vq{nI5EX=`wm`k%HJH{Qih_*Vj_}nIF7`B+sF6}B_ zw`iq5v^?9QgnO1HZtoLkLTou%w#+i^SR ziE)m2_y??RA5@gKu6c%OK3r0@hFW_?ncP~-Z)uCp?W1gQZ0F_%Y1e(*Dr8&3&+Ma8 zacvWh_Rwg#H<@_gS|9(>#%%1&Q~!RmiJw&6<;}9n3mV~-ouwAv#W#o9U~_1)gr$^T zfmE!77!IZG)M$HmN1*#_kA|Tt9n0Ft6Ay2W?8@(l9jenCX&;%Zl?cUtQHeogqd0Ds z%yT?T^&{N4eGqt$^KMDm?$IBfnXcihHJrp=n+(r&S95fvp^CjEa(AFVQP+e$%sFek zxn2|KIkq0$$Hz1eg&O-d;>+&^AoYCGt$hpx_<2Hx1WTW+E`(46Q6 zA`#lN>&;gx0WYz}R-|!c@D&S8?{a%d?`J&N*tu9H)o?$)dCb^Gjlze>kF0g=iJ00L zUI5H%={}VTzU)Pu#gxgvyZcZy%tIaEF%V(5jHn&!@9(ENzyAF$ULMW#mSGxp&)9|^ z#n+Q}1T~e2%Nz`*rh;{}ZyGP)kK0aR6LnPeh2il|MMT4^dS)(^YSrnbIjzUeEXe0* z1b>OSHXxoNMVjmrPlh&F^-8iH%<7eb$$ODf1#0WfdXSFU;rS5xK5>)D@h>@Z#vh|p z_2+N=YxfXy(uuI8NiI@U=+>#b{rlC{p$_t-LHlDOCS00ANqJi^nLh%{~=uE zNqq0kzH&N&A}mq63;^E`EPYnjINl!nh{L6o%s{O}4k`F?(F?fN9B)G*R<+yCw5sc_ zFQqXPlK#yCD_1B;#4`pK*VX@rXJEmCTYM=DRypQvR%%hFDva|!h`?ifJRo>!kov73 z{prJBm>IShS{yR{k!J@TxKJ(>QAb0n&luxwYLS8ZqYY8qKpcr-d|WKWkYU^-&&TPg zd~_S9lj5f1n`)HqQli;4Qgoy3NW0j?uA^q{3R671g_r`tM(mhi-R!tTMjO`|tc3Tz zJ-@^U>S|QY{$OHFL93RtM+a=O)o#?T_pyNyaum<#6tIO1aZLvX*RVWB8Xgo6 zN>G9o2tFj=l)u_m&R(CKT=mVq$JwFE`_bf|m?6;rKE zSW&bp{f@4?!ho`q*mcwc(#&BJ$y62Po1g)ze8kIo4pKo}3>ROy(wKs!eF(L|eN1d| z6{)pPTBlnx5xTgZ(o-yYL}kE%^OotI{l?fR;r+u1_8>`0?j^QPGCn(WUZ;yD(_#LU zXgM!xAUXr&gCB>5n#8A>KoNZVDdiBiQNoP>AG5sjJ2 z8u3D36PKZ4a<%VSC|iYo+?cD;S)TUcPhtK@1rFxkbLln=b6P9S$RwN>G`u%271 zbSEjybT7&vjt~5fFuSB``F2QHxs3v75R{3dn@qn>XU@UP<(_yC0acM>iZJZBaaz{M z&exQ^e^vj-A7AzM8C%U?j{4O%A{}K{_(Nxr3Fl3FmL|f@v!sWDVt3qFe($-Gc0?Fa z#hvscGk9Gi2cTE4|Mz{PtdKX$7@@48rh>G>ymjq~U-cvQtt0lmBe(SGc&7vJ)*G8v=p-d82NY*A8F;}caQE3yZOM^Dt#{qluC1{?S^lXa~i?C)u(K0@#&}PO? zy|1D5^sTy>wXaMQ?rM#e!Az5)yamTl6@@y9`+717Pc9l0j_Ut}KD)*%gM~uR5n^T znxRJw9t;up24^H@u`R=NB7mcIFz*F`Rab@N! zdW$-; z18-k>-3@kIb2R|zl|bwq-t7GScTT_R@2SF*qUhl%sJLjg1Gg`(4>;yChw9udkofCM zB%VguH;cU0NJZWPrNUFS7(0%U%aI|9;fThA(gbG*u_-z}rvhf$$wI+$=`sZ-Z6Bkt zJOg87%eAm{p6yv4QLtQ6N&@Pik-rXYhDN9ANyra3wmX4l?C&7gnBJh1J{RG0(4$w^ zA}?`FAf!DxKhY<_uZ;CsvXX_vCQ2Bim$~KKdo0bc93E1!p$L~#Q3Pl(tXlDes^3^p zomK`a8QvGAjgsI}zsC!Qeo>l|;IKk+$iYM0M^CRZm1LcM?zV5&GKtF~)?lNr!UBW6 zT(u+5f|xj2vi))RGtM%`s?9it`vHlOzE(&0*ysHuqQ5W;zg)fRBq8-%sQEeMIvYAb zCcY`L8`U3+>&e+_RTh3TbKR2`CE&Sg^n2pyH^HdpK_v~Z6*wD{tD^@v$joN9D}S-& z!cTn(Up}&!Oz}~EMHZ{M^|j3E?!yDKUyzo&E0jC~ECDPrYwcCnw83ol>iBja8VmS6 z3J;W~vG%_l+N$z@JG7z+mh1nMLmL{pIWPVM`*mnetH7oIacF<0A7hQ`-RvgwsU_Q^ z8XfgtF|xIReM9Iz+9ujk2qLg^;H9LqB4Axuj=-(L+yJH8G`~ft#*JLtLuZ&kH z9jnZG1WkNLkyTJGuk-|K2stFWmJrpNylX`Z?NOQa>gp9g#ok<~{N z$A67rNS|l*U=2^iU|92exyL`TfFVDmT3WQrAezY(_j#fPJc^hAk?m-EI1rpMuis1d zscxJLqvqA>p{VrW+P#0aC3b6Sw;H;z&}s9xTM+mX&-!AU6)Cz+(90l|!v)b>tz|2! zxaaauAq%kvjqAc|~>1 zgsXN-VG3*osBe2$?#?%tUT;^|mwGIYW$eMm!c)=1tF2njNwIeCcCoeCMYLXUwSTrS zv;red+_IDEyORt08M_!@;S_YRjSZpMlUbbIjvl{7-0Run%7RpsT^3_=`5>kewC?`| zQC!)->ueopE*wUdxn<|Aq9aoYLFKH1Sj}YOMa%yd|26N(B-S>kR8rVnpeXG_<<6-x z0B!7w9I-XJ2eD|$D^2{jP&*Y+hOj0iVlB50#@o|54hWddnctKRZwWyaxw2n0-vFC! zu(@(9G?{2Ao%)q&(4)bB(v5_SmKbqAVfY^G4I^NCb;okpJDBB6ogrhRZHH~&ZoAb| zlX4uEr6jzTuLD!%Za*tj+5sAWSk3%C9Dfy+<*38KE0f>1@rVYX%}Mn`(}g#r9CTXd zZPRSwZ-A0@ySk?brr?$cfYGUj3lggVUL&KNUn76D^^Dwtdtb)K4{Ss<+p++pV71Q* zb&MOZJ}z9uUH3R0%oXNv91mBNG^X%re^VN7^fnCWod3_V(*V#e-dQUvOBQOvh9LeA zZEF?)x2#l5qk|^LIfqUwfemO0wZe%pyLqhZJm{}U^AGsV3kkUK=;w3e{gO2~hFbGQ zozqV<7_cu50q$8;bD3bE4LWq7jcEj+n=ZJisT{MugN2EW6lX{pZdsSiuH4>T(t$~P zJ|9wp07B8-3+>O4z<5&g+oQ;ypSOkcUZeUC?392@QI2A2Uk_fejJlIv`YTmtzv14T zUK2w~#a3o3(7!%hDSIer61#8BIv!61I>Le~q?a2TXP;eN%T~J&&|1qT0hr#qCl`Og zHJa5q`TqYxHMIXPP;E1Ch&cBDS*po-L6Gf3YJPwEq^^IwJvn=PaYf-_S&Rb@>+wc^ zLs*NZPuQVbGL&7uT;#Ej|bH%C6cGe9pFO4JoEsFuk*~% zQF$Sj4e&|OiRrRVz8}%gMCKl{sSjBd4%{MN)d|E!vd+_23M@$+XS(iE&F+W;DA?Cj zmm#LW`){VEUk!~C?Gga=g z^9t3yo=f-NpL2Kr|4Zwms)SBPM=6J?%9{3K<>^`JAkyfS2+*fHCy)-wmXaQqSZ#_wW`F>ZeCTU=Zsge#`3WgJ8k+lC1N$GSlTbLbahJ8;7hmT@KwstNxhtMqSfaT_RXF!AI7v@0k8bNh9UTy? zTm5mX63A#ppF-vf!A57QfE|>FlI>p)d>9j#xygvNB-ulkIJKmy0j`;~1dlS`N^Kvm7TQqPP-Zf@bLyYCp$$GUVIlOhH-pI4(HC$ z+wt4(?a4yc;XOcz-!*?Cn+I;*$~AOQfK%M}g8-TLl|vHrje-Cv<{5j-?3V8DTQiD?e3aAf{~?HD+-?7(?i^f_Z=qe)@$jz6)x z(_*;5!)r}}9aOZ*f%PllK>Ex}!NPE3Sf8>3(aH3AU-mO>I~n0ltsJ=pvJ$rYa~i`R zLN3?iswg(%&!%z(2b2ot$yPE4?a(&E>nwf>khXHxohGw&lU=j5`7L6&>m!wr;z@2`QCAQ|vYIn!%#=a8n6h|F}G zsjo3D4V-5Tz{?nDVO7k*kQ;j6u^iV|9?jE@iG{UUPLAip{ihgkj3D4F`{3R^vkH22 z_m1Ty25}b57{p%?YmVKY5T~C4LvZ5{%X{A@zrV@|z~?M4gZ+`p?`q8s?y7#W3}_)P zCsvV)qAHM-qme&&18IBzprnCBtvef2>S3hWLorocZV>+ez_jwwx__hAY%SHH`ySSF zkLy|6!}}_)zdKBr#k5fB8q?*?x?+3AcssYS2K8&5nY6C?aXD`?b29jCCg#d?zu&{$)*mJ_B;(053S48!OR?Zv zZq9%H7fnk(`)PwFyoVv8m=@&|2Z=bi0!pk6=9opbkFLVmKX&c|wDQySJq*v!dF#IT z_^9(Z|8nKc^}{_Y%fkrXq26!ZM(3n@TB>OOk)+Xm62@Z0d8=p!UE#+;bBbZTh8~CzCi(E+2b3U*k8dSGI%EbLGoqFh0#6BUkGkqC6eJE62f3(~ z7RM#%1B8Rd9l(*OI{>9R9DCTuf#P^?ZP{i9gMa+k6$^@qc!#iJgSDlpidtf8;}Jz` zaI85jR5-wvTpHoMxaY_SFX8Fsv^EnVBWJ0vnO|05xbrRpFyt4(3D026ELD%1r_;t$ z45f^0e*Bkao6zGO9B~0$+}sfac977S`4Q=FWfd?oLzBJ9%Mbe#$Lri48{Xwq<0%mC zyM6BoZ1Z*l!f@nUg9#i(@NyQ*lv#TmAT}^$4=7=aG5yAUW&OG~KWpXn*zcw$38m2=a}ZN>xyV5YRx9-Yqbwy$nmHoUkY|C0bN7S4 zG#>IW^FR@bM~W#_pR#h-UEUwBzoWNqzi(&K2a?~qnH#9uZM||%)^~ZnDMxkIl0W8O z`Q5I9?Gs{Brqn&eQ8RdsHcOlZyDg$HTsY?yVpM_8ju59t0(sVVQUZ+Pc)v+ZN1ZW4 zi_$ESnr6<_wtyBb*%qzp!wAA;9#$kUkCF}=w;RreqzTu<96a~GWl!oE{49T_Dgdji zkru1-6Ugwg@&1(5I!}I2i9splZ$T;PGuuO0mnsDC5@nu?Z&O+=MG$O!0a<=SU&8)1 z>N~}@vDSbDL{$8q-fiiVmD*Hqy;Dip5Zdi%d;;+WF|eiH22KLBDVzYSwulh7u3K@m z8(;S($!UJ^N@N$?zyX>Ix+#j>Mj>vL@j>wM52^xzaRn0!i<~T;Gj$9 z0UR=2x@hpgH@FvS8cg(lgcc4beKS9y8M?HAS(Nq40lM2%oTg?45%RU7x4XS!MdsT9-vY3Phm{P6OwI31O`~nNrWG} zhg{7!Unm@-GrI80$iFB(d;PRwK)w{gXhDV$s)zbl;KIB$3lf!&j7ZuHu@FQsOwl}G z7MqxQ*Omdjp5CsZDM~(u*<>L8|Ke+hMC%5$oGdW|HGji7s1YaHrh)cVaCCxYc6O;M z-IljuavfJKr?I~%ROzr9T1-6xN_Z+M7+3eXa<%>eqOIKd*Q3RP2anqGgmtYgpIGp! zPTFR^%x(dIyqqim|L)o>L-p}kBt$lMx{l_N{^y79(s zWQRyat?Ko=RNf~ebAH)iJW>eiAgg08_&ANFjEhjtknaK<4JJb8C~c85Pq&4%h3`iz z;{bE&Ze)%*|7hMb4WeJ3^c+r$dHIEG02BR2KJY{I;M7R;;OJm&I3%}4^x%z_Ypk+U z+iFo7rp40J?ag|#z?d%VoOK0gs55M{gF4qz>g?Fz(m_`ASm-sPW43Kb zwn)@iSFvZMP{arO>G2tk(qp@vrGS~&4Yn;=8lxL|#S+e!)AVC;YZp>ntuaGOSS}C7 zplp;NP;49^nRR8=yw#_6VcHF{a$m-fNG8mxz?VgDl=4LscLa*J6@ zIb`dJS^^3wF!z?W-kMwd<<85wY#Ouetmu$p*v2pzkLRo$V`-$tOTt2Zrs^*zzoETy|_nX0V>E?SLGmwIG*3fY3&Y z+oWX+mHjEtv9@ZL?@}c!C1FRP4(0Szg6(55AjROKz?Z%Q0(Z)q!Le5%-_M? z;(fREmiaDp*dRXg>-xuZ+;;d+qRFoA$Ddii=T1PXNx2o`p(l zK$lMWlImRqDlhU&&AUkmmU!ofN$oQ)zDA!O4_r9&=>c++0uk$>69`2{5Hf^FT4eX# z90&{2(AT*x3ZDkB_u@uiQI*gIh8IEQ8C?LCrF8&MweSE?CC}$1C@2N?a2q09^u7*0 zi9bOzaIl(H4x!UK&zqdVsdf)w{D3Kyz zT@JHP=TK0TYQU9*2`?+aLwKL^>&x&^o)?2EDkZ(36H`(w9rbeV7GAE zu0sp_B9N`mYrDl{2QkUBZdAygU7Xwal(37RP* z1Q|_Okt9{%gaq!jFL4L9CPs@YWX$BB5VI{=SK&2OBaQ*X@8P(JzDV=_>)FZ@XKBt} zcVSF(Iwxs%7v{_foCO&dA*T$Z|85#)P$vyZ>o7CMT{8v_jzIl;;~_xszh?IyOgOEl zz@BqfzAf3DLOYZt86HL~0AnrNn1P)$^a^w6#`z%WyJ#!@{WldEpE!7}TCw?bmBbp` z6nzAlSP#ZycyN41A+*!uL}P=?5FYMrw}Ip)O@q4-PGD|}u>OHKE3b==;0_=cczb31 zyI&nN;as8K2xZ6}1TaMm!5tHf5zJ0$6Yt;WT#`12LF|Ez1l>7xxT-WEc~@|EvizTo&_BclY4&=50ug3T|%$HL&x#G6=~|B2>1?_0~5GiN;10{O_r58 zrhFzCzBV8i&<-b{5ezRGv*N6h6mU00kW&!A5kovDl-3O3noOJn2W(ab5vO}5HN)?b zRoKzQT^}QR;diwTzzi(Faq>m?IICa?J`n;@VEK>0qRY1|dU)Z@a0gky58?am@F>*- zY{7#W0EKn147GwNo+N7Yn72Goo`3+|Rs#F2Mi0v`P}w2jc;xjYs0-)f1@pY3plODY zk*;GXxFGSGP6GR2aj^C{xN;9V{<=y9?wqM$p}MyNk#*1*o~MFTk6aS~+ca1d;aO#G zEefwJQV02;y5+eEg-f29bugan!2HAe)Zx0M`49~)fnK|LDFQug>IDe9)nJ@DE3Tv@p4}4_5%0?ckXb@O+$8B!vr;ytC4;t60C6bWE#Kwk{kEX`7{~ zDxxo<;O^~R4B2Plv0?AWZBDP5ROb^3+suF&_qGghKFEDjyysfl@g-1 z2h;<~;lwVXd;>7!DNiE_*+>Cwfv>$rr`m?c>_8O@I3)1!T8-OwnOh>_1Rq&$rYzZq0$RZqW4^* zxNUcRc>jr3^w&bH6oc>fOPjVDqG7O%{6FzBp7@@+dsa!SK*kdbT z-4v)?TxUnvT*gP*J(a`?mh)#`x6Yz=$s%%%AUABEk^m-<8&R&3?V#>VB5#{!_2<;X zOA@KY#O$14I}B(jLICpJ+BwX>&6kOwkg^|v`>({IgOk91%m#_VWY!&`j4Y0}W_?kBPmQRg)*r``PkLEx z8?vusNi8ey%{l&^=M+&i{zEbG%wXb-WLlNR#4!QaTf!jxb!4mx_8Bkb8NENBq2$+y zh)^CPB3C}jh98V6;icdYqockjYAw4;eo9LT@bU8XST>l$o7 z5w`+`6lp0)mVn4A&=`hWcefSR9Tb#pOw`kLGKfnloQ6>Hr2Z>V9(=}dS}gyE!&<4S zOSd?B)R$sAwVC{&?(66G?c#BC5P4q$^Df%MlYDpa6x7TrqM;?6ycLMBHMfLekD(9s zJN>{1dcTf?d@`~7I&wKYu4{mu1OutA7Manq$_MKZUJ`ICzc0sGk8QMg9J5@PWhG`% zxpfw49UO+RE^CEk0ZZVb16oUoz0|oYE~>7on+!fegT^({iTl70iirA}3Hv%37U$SX zwqUS6_p`D70g>T~Q+xBx7AkaXL;Jt9Oou;1IVg*Zap3N{F#JRf>JW33duw1T zH8bFL;4neg@?I)q#`6=?rtPjpfCW-6S~}TY`!E2WCd+v68QKT?77KwL)fri-7R;`5 z6iBHSS<)HW1>2V4)~V|Edb$PrjZtdWxzj{4%eEo$=&xrh*%{G#w{fj#K=k5mrWS;# zBUH9~o%Ge2X0P8YH2%;k_HGkp3-h7MVt346w)9?*?-m_|Yc>DeOMUyam&z&CDsJl) zReNbYJ^xPqUfo&zYF$nLqD9)-A-elok8Uj$W^bl^@%C*Z*G144o~f4ROTdvxZ~*?=vMFWM4-;v7+lee%3iZEVa zbHiNd&^MMG$D1n9@bUF<<_}>>OXQQF===6)VPzSZ&FFz|5bhGl+#7lOVmgD z@NZrBM{kaU{$vXc|D4=_XTD&0s-mJYP8@Q~d<6L(ifwiF+Dp<^cKnXpOT_FDnA?9h zaIlBR^Ng|LY2sGjSJ&oo5R6x*|CXg#?5}OsFq04yG2r~wYTfq5vo`oAX_L>)GqALh z=ocX+TRX8DcxqLSQtaFLa7|@x|Cr}%pF6*Y?cSf>0mq2R;M2Q|9C!MUfy138+1Ju} zo8^l-Mxq?5Uy^ahR_cYN^C~FBpg)S`&-*_{?VOW**9>e|N)e~Eeryh~9B7ns0VsnH z?+^TIkh;DY?)ZAs3b^ID7BsE{%k$WiHSL}YmGp=Zqn}ohT|{^YL3) z2m~KhmeJk%+3O%{Q$W>rysi6NsW`JO_El280&p+zdCxKc^2`}^u01YW&RJgb;9pBnU;I`ykPMR6l4$@|@z z=a+}~d;U5|m-p?^{97zPkz6{D;l2MjH>+i)f7IkP>MB=}f9Ohn4Y5>%i<1N!DaE)d zq-;yTWCeJNCF}lTjwG(KWu4-BcAQjYdTR-j=u`98d8J;WX}0;tl9o>5y6=-}nz0ot zxmFeDlIB#!vZZXZs#9fiN3>(PhBu^^{n;}-)$c*dI*B6AJr1NK8~+SrBJOt?hhF#y zbkZu7YG|_qNf!ip#Nq$Yj_MCMQ|vI-6FGm8C^3}D~IDvx*V|(77Hhhvb!K+uCw*zMUTze-cMP|9bZI-!|;!*5g=mvGTpH?GwPDkRfb)bZty*9=P za-vuQE;u+*`U95=PaV2Y5Dkvp>u89CW@4^kU(oRsPT~lRCcQ=S5e;J5yCaH()*wG% zul$Z%vOB~yI0~gRVkU|Ux0Exhe8_b9#ObcO=O5#kKu!A596>9$`>D)^UYUzke(vb<<>qV& zB~7(oi`y|?jNLvURru25zan`l3mjFn^??iKm?Iq zUYg<4?)4*G)Ap-uEhnoszcirwwAH*D1uzT7o|UO zwi_t~jw?mRm(F}?m%6@b6jDQ7G--0B1=+aoKXbo03E8DuUT;RwoIn>!ni8saX&orK zLXoMTFSZf<&$Z_F`X##&l&Sxb(*nWT|NP;s_hD^2zFBPwKhzr+Xhi;vKlD@d>Nf}U z?nnR4AO3SQ^z)*V-X)p5gRp}K>VvX_H{2?AcctL%^jlq!S}D6}Aj1tA109mtw~fe%b%!mve@7T``s6GL4#+Hu;#Z^<{!^c%%?-8H5- z$b{sGX~f`YD8aFEg#W$kUoOh(FEO;?pb2KfNu^08@M=V`mNBtI3#_TQ{Jl9=(!rMS zWXM7(&ac@{zeFRUPyUEIMo5>8q1W{P!y|qTYAs-tLF)kN%3x zV61kHZsxAKHZ{?UUshVPlkT#tm&SzhnC>!moZxTD%;L7V#H^<$_q43KgzBG{6|MQZ z2&|Ulb@24uPZZt!PZZqjkJQfGPoZ39?tfi%=YQkktY-C9UBSCMh56yptiNSHB~nCu zt7Hi8dMr&c9FM)Psm^ih;VK|!DX4+zIne#43A!4D;cM*(n`R;S3J?Qv6d-$ID1o=c zQ2oowo(d(UQ~PQ{%wnut(t*LPSecLWMt-<)#f#7_ zlct(J!DCSU4iE{bfe0Fe|I@KR>p}_{XQL4vG$CM?)dY#_$-@YlW}`73G{tLFBuHpo zB~_&f)vuGQ(TC_a%hl>a^?R;tMb!lNOlv~K4yMkjIYIY(o<172l7)M0x(`SVUuqV5 zEkO4#Ejp}by4Lv;{{FtJRi&ME1bZE3)D@Wza~3Ck$eSe$5Tr^w%<3Dxz@}2iBT_C7 z)1rhjG6<407KAc5X@5JzPbbajA0p5sv<_`c<9VSp&TcJN0Zr<(7{o1x4TE_z8jvb) z*?j$O0HM=Pv$7sxS7%U{-Y0jy$bOIGJqZ^lNvz8nn|^-MSuWUI|nL*8h#Rw~mVPYx}RVB!_N6 zP*S=P=~4+v0bz(iL1O3>5P=~C6lsudkY^A6uIs+ny5o7@Kc2PboEhefy^mw>gY*0O zo)bG4!FT1iU1szx$KO)kefFoZ`a(w`ud6BVZPxZReie0>(B9Q^Kei=~@n2KrPieo2 z@@AyJ7F!;x&;M#OJ>UebT&_1h_A+&w8FFf15}lg*mb8MNE_U=TTf4;R75Ch?q!P6B z)}5uD^S!fg_k1{y_P^FUKJ;gQ;qc}ab)Mk{q9IMM$d^>cN4n+fCyz%n_tO16G&AqA z(9!%{?oG^@?0*PO;B%WroEs1B?)dD>-%T7{RsipHC&M0(HFCO0PE3JyXUn7oJbEoA zS#nSM3@zb7?#9?<(O0A1A*%d-^~Tz{^olu*UH@#hc_|h5X@_GnN>xyn-szG@a}}1eO{Ty`D*t4U_Z1!U ztr&5>C2RJ#c{6GKoQVwu-JH_8v4=b}W4@-V*B2#lsE61c%f*RY|xs|GpYBxv(%l2=@JcG3~SCFx8T0 zeiB@^8NnC(aH@sj+;T02%I6o}$@K&bN5=^Nn6;-Pl?q?yO5CTP+MLHZR9*puJ8|s$capJSpDp+VS1& zr4#mPue~*!Mm~wUZQSMQ7#}@aDd(Qle^hxFJ{sK#9!ozM>zG5|HrYtyj;Ui3#~s-! zvlC3D>VCLe@K~p#NH&&k{H`@)UPNdhDb~I^Ro`9CTW99hqj^oU@&TF}$$84sZ_6tv ztC(a8KEKw!8@aEi$HC9`9}iyxAA!G{$=?>&K1q-8@-#ifD2?3rGUebeb@Nm3li)2m z{WIGM-JAiphg8rHE+a3Bb?N499O}4y`Y1^qcLEd?%P; zTr2yGs`{9WM%?j}iKkyr9|TFouy6lqGp^D5(5kH>>~L*qzcc)sJ?jthKKIW(yswFR zRgK1mUZ$0j5^e{yn5h+}4`?y#%pKGFI&2;eI_bB-4U#4g^}>c(xYSt|K9~kAt6iV) ztydVFTkfCyS*tKVZ#z-7q#M6(kv+%DT>JT=052#}@j8A7PkPOT?fk_;(qcJ<`-1aR zS_L~ME&2 zh2G~{>bPDlxSP&uNsby+#FOAvI;`hV+L@_=K?GwtGil7hU;M8jM?`FI#=D+dax{pO zPTbx+Y||C7cDbm{`*W{Ehmy@7LYzn6RT^0??W;cvfG0ZcVs{J9JzvWmdC5Pv1rcMgDuyb z_0#vka@cn>J)7oCTpOJMw-cfD+z+fK{t~6x!{|SZ^uG$wqNc7?)RQ2rFnG*eKz?6+ z5G_p%i7XsfP=&DbPDQ*H^Vt-(*UoKHFU=h&J)LFL@O$9JP?v&L^vH(@#M-%ZCQWi742I^TRxLkqu04~vgZPPvjb zHh1=NQ(Gjk-kx$*s~z}IrcYi5E+`cn9u3wNa8(U1sj3@#&phs)5ZwwaHZJzrlj`+| z(@$KD_Z2Ev?!Wy)DQD+Pd40Ffqa0Xb$@aVCVMn{w!EvYY+wayZl0D|j_FaP-YFsZ) zWzsr$+kQ)Be9+iDraH?geb9Y!YMRmEb8)JWrm=axue~t;CeT}pz2VSU)W9^;-5}m$ zZlj(rN%T=M_LZNRN$zC#fjJ`+LHvO~obMh@gc$ zoR2YdGN&h+{9rNn`mKo3TUwS>F8+86_Vi&}_+SxNTsL3pdk*fWFvi-i?|FsJ|~Xo)IdKtF5(nIy$sH4;J#iv|V|Q_rw0{%&TmPV4F+@(5C&Zye(F@O_#?R z{RzBy-|fFP-4}+jy95j&3QwKbs|M@HqUp?f41QNt3BYVUT!-fog)LCS0Lb9LcsG~Z z=DWc(q;|77mk4Gj*|F%Qq_VN-)lRB(BJ%r+ zE?Ws+?H{~p&?#aqeML}es=b;99&9-kgkGl<<48Qot|ey@eXwpis2A~VCG8ara+Nc3 zm0OO!YlCT=+j}*q?aAg>FX$}6b`bkesSY(6&jkBS@T&_ysK45%;!}5P^RLjXZOBQjnt1J8wPN5R zT55{470gv6zE$$-ve%b`hE;kO2v;(Abyp}kQrADqfLAnnhrgrQISWcq!Jw1%TM6EwXXTHpU9)>5)CQDRaTHV{8Ep;z(}UIK*zlqrRO}9=0_`nLO3ha- zCOEK?+I#o_i;nF<>nYY|N&^^U#joel?1C52>}bBOsfXX?l~xQDss`aMzu1F|LASah z6t6+kxBu@5Mb2?B1GN(y-(XQP!g;9}Q%MX+O8)Odfyu50@n3q1>=SpRQ#uc`Ax6>@ zb!p^~Mxxe?^8zyduA;{{A4*xSL!kYBt25$&w`hJm8GUW`0ewR+^014MDi>@Rb|bKY1nBz zHhf*FqW-E+MSb?C7Q;Xb_XAqG@!YqPthlAxN49EY56tLyMskLgiC>W6SJs9R1z+QF7_mzNJIIlRxF;isuDwxH*_ z(kk=NWYFt59d*9m`T-7uR>dUx{a!o>nTvC#*Kk~YN$O}j9~|qqAAYM#)~3Lq12v}BAnq7_W|;z-L* zryozl<$4@IC5C8MIs#1IN zr(2C=JeV*OC*UX=$h-GtU_15AeGov?{Y{9;Y{ShVGXDPCMb>*tv1_PMfJR0w=752d z`8=2jMMtY(b4_Rbv}yfo9n66{C9-ynVdFWYD3=F{o&aeya?NtNR6bV&-gcU3;ndI0;@qd>4cCRnp2$= zT5|Fc3KN?zpMu8RAPTOtz&<${<5<>`Iu=tMC($plh;7a>5p-5(BGqpMujJ&TMxzLz z^q#C=P+|`-HhSvV(GW&9j9J6ezik+I!B?h37S;8>xQ@E z?GnU?%JDr*e*6>nEz8R#9;g-b@=`wzb99;g7Ns>KjqROX3MQ!jIaN@k^kV{yj#W$? ztT6UkotL3c@R^@Hp+D(t(g{|3LsxrIVz&m#X9h>*sXEqO7eA4_Y!xbCrrssR zMu*~r)gtzp7%8?6<|-K3t?81>zk(Pn)g%_!?EgZO@?vnY z7iZb~O{d~W%dWtDh3{ZIkD2)DBNkk4RYnnopH-0fYU}E>N(!8!^1O?ST0)I z-vd%Hmq&+Q65DzPWuYpo$@r5BrGvc}Xa0nnLU_rvN{E1P95{_qS)sgw#Blms{QADl z+12HvgY~oJ*P<@S4~(t|F-RZpA1wL*5r6R({6GW;_+Hn*&#x`Md{^_`8aWtW*Rpw; z&lIJ?8iMvDljY-}LuRMqEk#bc>%iau>6X~!s^%^FhUKgCOTlKKnVdMv!9l49IiS`U{u-byNMdqdrwNDe+u*x@}E;yEHpjHhQz zK^S8qhrWk`-^Q2l2CJihzp6@J;XpV>9l>Z*D;(oVM?jhr&y?0qoKwssVyDOvE|>=A z8J-q%ww-C8Ukalh~3=0y*-8hucN52+T`&VV(w!Jtz z4Lra6gNpS*4qNt8#wj8wTlIHu-uz^+so7@t+0o_Gpx}#MA6S^|dT>g&Wisyh>6wOL zqpq9A$!7*xUF)5Bl`mbgtMnJ=rd(^Z*MIKj7U!SuEWAxA2cPzzDjo5L2O1tEELb|- znq{NPBB#X~3hHcEy69)-^@0B6qlyhUe(I|8`TA_n%kTPFEPgGqL}qqiQ+8fvg&HTm zI;_s!+=25-@Cj&b{L_~EgK<^BkdBukESlhokTZ34hU9xZ9U9fD_E*H`7+`C-e0@to8e2&g``Rb4@;RZFQQuw zq6>(o2y8LfKDB%D7!fo=D770VZQj&|lx|+EC)$4#zwJq)!MB)C&Nu{px0Z_XoM4Rz z^-88VF{DpPcK@u72w{9pXm7aN3homu4#j{@( zbQg7?)c`l}Xv9xYI}yrUP2AjCQy%d}lNIk1Hme!s$5t_I9IMTuW9%$O`(OLZ_}|%4 z%`f|NqwmDA_O!3@ATq)CxDH7rP5KX=&d#;&OeHmLE+6r8MD)~*SX-H~-d_*_|OSd zf9}2y!cBW|h0P^!scjP)LOT^LKu*bP7Jr3CZ5bS#NB5nfx=$mSLUQD4_ne?^(gV%X z$dv`l%2m{1ccd{h^`wuwLnRRF?Tc2~puqOvtW9+zD%%QPl=!WYrfDIRLFG1df6noc zF2dibWWxRSHc8FCt0r&ZjYxTHMZwg| zwrkE7*k>*N=_%C%KDww>&1h^{;Yq`Z)ua8RP3r3M2e+Sml^GHWpz44BOjAm5e|g4q1aTiDqz?~8$q0q977Znt z0wtyr#j3Fa#VWT3<)=tAR(nz;CW`)do~qLESd}Q{mzJ$qFX8t~Dn6oNXeHJAo_b|} zzwdgQQH*&AaiN2saG@DdP~3b+UriW!?%YA zW%99SOEaySkGytzEzY1k`#hlBuY-f7-@;)I*zlnVd4W|Q;-L&Wj00C}_||uO%^D16 z@Kx~_80h$sQSc*#-dHm$ivU@%RLC9|ioi2W6s&#kGv3}W7Q&v=@pieQKhYLSIS?hp z9ETb-cr7VWVe%JeNjnS(oQcp|TH4AX<>fd6q7ejB2{Y{pg#2F{(pB`x`KmK|PsLAFBDHsPnR@BITGrI3A zCf+YzUDloDiasM=Dgo7RqfIICU(iO;yiB&HH6{w3u>5Wn8$ZB%E?p)t!&5oBZ(_DN z$t)24tuk}`){qRHmNy#R<{}@);QM=y?4~D1j=t+Zo4!~*o}y0JlnifABQ@r7mP&o) zM~?ZyD*dc7RBnBcMEUa|qUKFrBA#y`uM0}ny?I$~**{R_8e`dwMQ8?*@jz6lm>9uF zyr-wkbJOl&=sDx^qe*lahHnBkw5xy9H*U-na6!Nmpzkq;z~-sw%vHQKnMx{<+(uVS(zPN%8i{svjt`ZBgh1r^op=TU;{M!X^3;OI zUVpd+a?qmRc6dF_HBDWihXm47=he4hV)UQ(4vt&y_C1oe&~O+F{Js&={PnE}&AS?x zw(?{`+q3;YRcmCUlhpdHudi2z_V(Fo=PCHOa1Z`uuU^%y_~bmGD0z)?-rIRl6JRlQ z@SO(Tm~tZT5qp~9A0x?1!OGw}O&|Af$KIEE8k=ItM)kM}EzA6J936d7hsZDT82mDo zXq1%f+-X_k>0U3_?v`}hIE<|bT_<9lHPYdbce3KEsg*IgOyy$xQ;xuWfAc3BScp5u zMZ`pvDebEK*E%vkQAF?H)v;nh7E1M{yx83KY1?0#VFViX;i6Qya6EAIq(y zqdKyg885NE24S_w#d@5M(n)?B@;c$`ix{CumpA^B5lQvxac9W0Spo56Vk7LUoQEh2 zyx2#BJETUAZr+6G`H&eS5-hpi`eGh5gpWMRj?S#br(-vh*>wB}Va(iG)|}`1M)`=! zJ*sy%UY!Rojm)BL!bM#Rw5TEa9$VAKh#562|1#fXg-BMtK^5 z>ib97ZSyXvvxuMS<>FFapsD9>(C(A7f!lXXgkYTxI?s*LmOsk!3BOkoH)v0~gYnJW z!gx|`hnG^ayN;(?LQTW(F@!UNgB;OoKQpGU8P<^;Aws4+BD_5JNhdL5dC~Y*#^?7N7bEwLu_XCbkAPDfBV#@g)JBFC}>!fO$TxVOU9;A$4lfg1A4CTJF)Y+mCwbQ zTT;B&^}4%)oShvxTjREzspHbIWX-KO?2p-<5R#NjmHQGJev^H=mFqt5#h=J(PD^3t ze9TQZ)4L<^X7EvOMkjstkkZ~TPrTp{_aZI#0zqiFBZs}n812K>Fki_fl{KSm&Yn*# z7Wu6`9 zd6ZAPKe0IPdhiK+&@wJ4ND)e5E31FIZfb(_iB>d>v z3vah0L+@P}ISZT=sxSOWuv5^Sx0}HGC9?}>01E+&@2!dVoL?5WMg}?JHmzT-cyJos z|Kh|;^n=6txv+%zRQ~5A2nWn@Rc*hS=o}JEJwl{m9G4z_pe0URS8S|4bvqv~5;nG# zd8wCcA_A|ZpVLUD8;NYUq#nikHK;jF>%$;|K6F-g8J9S_nmf2Otn$4zAhlI^JzU+z zeA)BrRM9`;LegLK89w1HKNn7;VZR^mM0%=UVl|f0%yqbU#@Fo=rxbpac=a~11~vry z#yPfVBoJ-}PxCx3cwo%QQOuD~&hK&!O$>6ZTvLVCmj_#k-+gu#u89ed zU>(!i`aRV+xIQ&_Hn@6BWu{z+<(34E?UbbiZT6Q zy@DcZKaxH#whP#T!fHZBM-Mwer|vV z*qH|Gj9;1p8m5WE2Z#z@$`i0Ek}D<04E?PQ(9=C2fj6vxs8PCyJ+wFE5Ao7NVOhn)FIs6jYu?d|v40x@{`t>rWaA;h@#p#dIRpzrrjQQAQh zV*9$0G&szgAc3e++k#@49!XHTnsac!7Z$kV(^9p-STEfJ%V#6UOW8W6$_)#3OWw`u zY!^{`emjno;Bw?|ek@i%0to^J07mG5{-PvIMYEAQ5Oi!WHU2V;zS%|$=T6{@JkT-@ z3NtqfVw(#E!OjyRK3ssh3$aFRH+VKf52@>uQEDg3F_iz=gnI7-^K+?mHk37RJY1ZW z&)^_ABw4ZG;dwU_rDsbZ< zc!n)+SPh<0&eB8v-dF+y60~gwG7lE!=pkmc?nzJ+PmtD*&~?PkCYrPi892Vv_$Xir zfsIh1G;d*|^g5Vq7RukAd0=d&qFYQQmu`dNdNq}_rNH`uYVM&m#+HwvErTK9<*{yE z7Y@R(8s{UsL9#9egdn|AKBGCaJn6L8;jf1iHbb?f7ZCtgzL*S_5*K0p8zgD*7zL6-1*;-yjL% zkchkbOzRJhm1C2;OwT?IW+M4@$OJf&o!~75cwjURJ*R2DeGlwT&^<8f7XY>`9-H(B z+2o8HlXaeK1&M+*nZ{AC+)D8F$UpkY=)r9VQzQx71fsu2A zw}``NX^L0YqpG{SHRV2)9SZ_k@}n*)6iy8{+hOcaDR=(ysg3H zu2+Qf146aO{TS>CZpHO#?mynifoB5xLWyqGQ22;zHB_F_@dvStKLsBPI1IQkZxdO* znz4Y)T0lDcj%mzdFenffkkpu(6D*Q2(HLFj@CdIQZbElrk7_752F25WL~XSH-5A4v zI$XWE23d!t9L)O1f8 zef8tEC=4e`X6~3K7lR)CTv4uVWJAi%YDRBSHr<~g%zqB^Fy;lrXlaNH)*(|qI{L!p zK1u!OE>vFLBze|RtluqyARPyb=qMmdGkuB_&Wt!!jV(P`RG>-n&n-Q^?Hg?^Jw5pQ zEmKFZ;PBC7n%S@nV-B@ikR&`Tm~sc?7Wz2j4|5I;fnC|ZnfA{{Ch#|BICSDZ2mw{V zf+0iqwIEJo(n5*@-QOHCdhT8YfgG~@4|weqkT}eIL5wQvXoMNNi8c2zZgJZn10^4% zl#Ma#0GSUJD|YbklVTQx9+6KAYw3xy!2;_=39?w6*T9RIp)Y+rZ->F_xG|MB=kY*S zz^y=h4!~Or$fJpCK%(2tEpc~SR4kU$^2-Zv@OOVxOOHJqnO5u)$jy3Qw?JcNgdIN$ za+#5VplsFNM!Az`R~3(C?kHvLMlBCY7cfH2k)>=ce)2~f@bzUouzB&9-l44;(qTR| zsgFy38WGLSL8$|*t{0{ zoxc!T%nF+ZQDP;yojZ*oNNaKsOg1%kQHC5gHHI>>ybsSV>oHPgJyv7jl!6GM&KxP5 z8YlN(Z(lqo5bGF4Lup$W-&gMp6+t69tRV>P7ReA%WT-- zcwQjgicr72(7Nn3UnJHJp(+6^2A+Y4fg6#14j(Ih)boMOajLqi%DU`~`n^0tV8Y5F z%X!0!%9(F;Fg?4#9=GLjHZ>Rep_iRK)?vrIOP7_)bPQZ2It3i1tv#|kvp)vz8?_Ky zi6|co+nLSH2!%ZEvVw@b?o=j#<$Pp0<%N6rFTe4(Z7i|+lQz(DcUheHb#Wf93`rpC zshp?P;*{SRj`Q2rKiSS-)LBQ68@f|8Y}N!Upfh9Trl@1fJzReGNqL`d zq(NjYv^Yb$wnDkg?87hAS1u!pn5OEx@+~oNEK(%`Sky}@*MQ1DB;ne(f7MML`CMU@ z$G~AV>-gYb0!esPL8x2#I#dUJTz6cGOJj6zge08TrY8M93l{C=OnJ(jGd+05cy=xj z6q1_id2!l6HUtJ!nLtc!D=2`01uK_W&NddM**q3yHX^p{vxF(y9ZGgI0-xR(xP5lE z(4w3$Hx55-R*xN)N9lVE6na5~BrDYc7>ZVKGLM1xJOV>iRkA3;V^dSWj|m1N9eqWO zi$)N^gA0D+L`oyYiaQqkGtdIbi-AYAwwkNye$(%=sslL%^8rM43P#R*`0*E6VVfG> zMil}vO|bN!kCPyW-mGz7M73t{Ee>+24=TYx*y&(+<{4H&-n;~-aZ@|pf;72EJv7&= z)#xpbPJFCq25G1P=GoaMW9`$5t&zf3kEDecMGJ;>NfHb&SSa8)tEMF3+tx?C@9w+; zT|igrvKqAQvNDSt!1BnTzkhk>rd1X9M5^{@2j8EmeZPCof@SumXL7t3IW5_fV^u-N zGFTrN{T@8$!!rWA4y zORF8kgb7aIq|I`)B;mu1Mp5oCu%%vc)lnRkE1^xjPMeM^2@CwN`-@oertrD;qxdZM z50=RdeV}I=G~9k|2~#1Yl;Hd=Om;k=~qQHDUZWqGm8Bx%gGw|4ig)=&2?PnYk&LH%-(!x3Doc^PG|UzmpV=QVm` zK;o@jv#p2e;0Td>UOG2_epR?1NJD5r2}h(^*RC)*l@Z2TxkfMwO}3~Z%I(_O6Q`+r zn5k$3wJ;#z80A0YAQoeGN;i9IT{xkX3e! zDW}NuQ1LLRvH+}0MF5twNS7efBIL^}-yH1bMyNPEqD$BjRgNXqX`qkYsp}m&Upqt~ zs=3BHIHXIR-^e2@oPY1cf`!U#P(?sYGEGSUcF74&-CE#K!k1RI-4Dzu1J~y33GOU` z4!-+whc65|(M1RMzzrVf-ucGTEQ)zhYVcc{vo~~+=Spigc98{+9fpqH_GM62_u!Cv z)WG`zbLfX(TZ!rzkb_^mj4V{MGY7h%Mx=oz{9({g43dPZ0`MAr#S09`IOf@wPmp<= zJXaW$*YzBzZdVM5i=&m9v;Wq3yU4f0mvN*|FaKtkZ3L$WOE%y=Yhz9?c&64WqhWFj4C`7doFu_*IYc5Q z4rEIcjgI@*%M{iP4d$_?d-@~*OrQlLp0`QKkHDnhxeZ4!Q-OWSWI)Ou3uhjTBv`o) zR*@tOr;sEh?zKM!xyI?4thzr;vQ>K*4Y|1GaiaQ8lcCNz7;YMuzJrlv7*QK=uz@;7 zF}prvk{Z|z18$xjaP#c#jYK?;UwQl>Kp2h?JlEzBzNt_(Wr2=QMi`I)MHfFm+$&%PpcHcuGTu+O~WC5V9Oc<;j|m~oWQ39nFf5N6^jiV=97U68B> z91YbdzqO0+rwRr{I3~RycN=VJ;N;Q*whO1)9^WA|>rxX4g4SvOI!_25pI|P@-F#%r zD+GF$(t{M)qWKZZmG|d{lw`YHNx0W>dVIlvQrH+G5<@{7-&jFEI4kMF3{6Sg4hCsw zgARiN5wda}AHnY_X?M2K7l4hAPl0o6PX@J70XQ}LUDqouUyYM${rUWcg~m(?GDzU^ zJEo`PQ*r<}S1UnMDSz&bJ08}8mk3ftlhhU6)RZ7B7|Bp~m5v9z=wePoUj%@ZScCu# z!>UGzO>-E>$8xhLWJZTY4QP>=o~Uf_CKqbG5YU`(ddod6Hsel%_l{ItrJ4nB+Afkr z-a;swSRr%*16-I}PlvkT&K$Y8xKKF!LVX^A4JOL8QsqH^#LbL{NPOcbh3?4t%y zTEKY(wWaBVW(;8pb{;EWErc$6Jh9%h6Dowh`Ei?t+jpaOQS+q4ZjtKt7=ns$)f1=_ z_TMx&Rh(I}#a=t=61OlM)*ZrhFc@%Ui0@FH`dG+><&^G(l;n7m;yz__ z@X%tW$-V1=Nf5dpRzdaPm)N;3S<)@5Ix7nS1dHSe0>hpo15o^dL)T%*5i%3 zuWUg!713w)#vyrFB?Tqm43fW;cavdmKNS(N)9?ChpC;~uXL9>T*5~AR@BTR6bGcio zs04|3bbW=mIF0R=@jzsfHwjp?!fbR#}N)Vm;MD>q2h(7NbAe24ZA{`T!I3fToWf|x6eFsxsoWNXHP)U8*%80b;S)AxPz1gLcH`%XAL} zFJDRU-4&@@HOw|C#t<}Jj(Nd(2xgVA_4qe#%u^1BxgRJ6zdvqcc1Z?3OBXTP52mh0 zW#h3~{$bC4&SW2NtPZ*d2DE~>qQjj4v|Yju`k`Tc1mtnLtLq6EXwFzSP#_toklbKX z5g0}#yKe#&I7d=|vv&H3j-~<7#qeJvvl2*c1skea0je#B_S5PMek@w@r+6zhw<7$= zrFbo+SS6cC!U@Sff3qsD4PH-4XS~@VjW(5*5R+UGv8esV8b~R4uM$vbuV`+Ge4{W| z0V)tEt@^y4M9>=8TNtQgp&}F@yzIFGJhC)uqRI`%1PmTzDukaz0lCs;rRmybRe?JX zUmNF!Op(@aPEAe^#RWqcs zLn)--H_%f&!unWu9PKfG*rAVq90GWpj?xaY9gP980J*w{CJdC}X&g}B6XdU>fZRJQ zUW|dmyxQ-mD+8U)7W$IHOI4i|u#n^TT%h3rJB>~?;^W5ogHg@65yN0#Tn0ge^M~xg zGY1s{*zzP$;ebbao?A(RY54`*>TD+svK zNXv9JLaMGWU(wk;hcCX26SAu278d5F5fA8V^u*eF?|}80J&l?xEcu3Se>~>4sgbU1 zwM#=!0bK|I8aEqE>15MMl>Is*NVM>v0a&I+cjO5ogk z5Ls##E?~b36mDHho7;{oHZ{~;1Ea&oV9WRQ4HjTK`Gn*3lUQCG)~r^c$3L@T<8xeuc z`+I!b0OX}0>_Mc|0uNa($J1xfyrf;er9tmkfgz zpNt4R9DZzCnDe#~gTmI%(6o>S(=_av!1>_-q5)(3yrDsqKvY&y6o%F zLaxY+0&=CIz1;dsu2g@?HTEBJonS&T!aw9n^*@p;PS1Z!uJFI)N_9i7I6X*mO}HUf zAbEALfO7jwu0ZzwL#}22kSlTwqhva7$Q1)p_xOftKvbt7Wq-*PX#GZ{ng$D!TyIqK z{|j7x?8EQa>famB!E8p7D|Qmlp6toETA*V$GS}^2)$rb*WT&@0ha)tj7 zs}i z1Z6t*w@fYkKbSpw4P^5#_UqQd);%C((||-<#}v^r5YEgfD_P~0$22iX&nQy~&G^5u zt3}-nyNceh>lBh*9|3ly0qiP*WLFW`CGQQpiU4-C`OB{Bf7zAhhFyXB{{POdbpMH6 zmHx6T&A-^y6bL53u8DwMX^`xy^q<%jXfxMyO2DqbVEixadZT;UMu6;V`^&E28IoP| z{$bY$AE38E{%+Vc=6_~aAa4IVb``l{S0J^J>{^IqSD?#~?CR*cmJ0`>{V%(U{F`03 z{$W=nofPc+W!Evlu470|tpwN=r0g%dIwILM5wL6G4Z9)-{r?5KD*c;X#{jzmZy4~% zf3PdE)xYeD8FZqocLMzr^Os%60F425W!49i;D%j$TH~XEoc%Yu7XHJoiT|*x$PK$D ztAj8TPjQSuWJq=eNBhgJzf^$x{Fhxt{<160|IV%=|2@0L0Csi!7rQ0`b_M-FvMbme z$*zev>VD~2ncmpGW&?@+iBV`kQtXxhbOqWN0({^bblpIr zD^d>ubX`*UYb|C26BmiD@c)Xg0i8FdC>zj+|95l+zA!*n{zo_H`WT6>H7CH%GzB{Y zbhW8j=J!ORt4+(Nu{8?{6`9!w(# zEK{)OkdlDdz$-MMA9KpLTCBO?G$neg7SbvvSQ~Dc0%j$Uo{6{EfO>xc-krgp zN|LZmAWLNe)z8FaXu8LX-V20qkE3`rP{pE8RCmq777hobj{4lS;g_800XhRu7{xVZ z$pV>A)pkP{+uN%T_DR0o55f|ec%7=2&n*!E0ZRM6AATQDy2tko^3yRaC&8f@<$(*l z243skV1Of@%F6_pTTXer0@5EpS0*xy6=-xC8bn48{e_4Cp=isyLJYd=zZvcq4g(j( z-*WX=tpK+dHHBaFYo@paZexEzXnr}P->u^z(GH_~U({XCFvtkBv-?6n@ zTQj%oJ_E`;BbyWbmPlRLZf&U3WBXm-_ZldRAcu-!g22FDrVY&o0x_@~7?93*4?4k+ zo!fc>c_?A^vZxS9y%f1#yie~~W1CXc>VFR#+{oWG;kif*Woa;8gJ5FZxcd=FAZ1fS z%a_8y4EF*oQwQWJ>v&@zX%#GTvkn>|=Eq7XCngh;lj~*M5yggB6dJg| zCkAi%4DNd=^x4W&RhhbGDm^=!g7CHUFEep;-w9!K3$g(M3OI0x^+*ytKLB5s-e^H+ z0JR@(Bq~mW`=DKUY4(}YfY1Qu6WDIJc+(OW+Z$TBZz{GZPl@8jC0fa!B-A)dS zaCPuz3>oN7hc$?z*tNCZX!s2q7%vL1srL{Jh<8lT=S_c*DP`A&$5tsopR&k=7=p;u zhcJ*U+^9)v+T!DU(Ugzdw_qgh~TA+OGY^YT2nItz^AzgK5(u1kZfvxQLP z)!7@Tuqg8Rr3g(6Pa%}E({>p0RhJfsTpW4Z%G~6dPVn94G@ zrEn0Kd%!o&Iv&RXmMPF!*6fAQhf(B=Kvrql?GWnYP70)R(L@cb>pAXXJ-JbbvA}>7 zg-cNd`P*%ohWTF2%+N&T~(K0eUad;U?$Vi)3)sFd__Wtl&49N%<@5Qu*a8ua(fu`=@DBV|rHl&E!B! z4XXucRjjA=7D^CwT%e*9feR>YbPXJI{Qc?8^QPc%10{$V;*gh|bs9*;(RSFkLqut) zQ`tHl1>4%`-z)zbZ%GmfgHH_9-9?kYvsZ!T$uiyj>K}tHXK*(GZ2)dyJ#K9Q>$Gtg zYn4L2e^3f>>rgV7zr%}q3!e-%stKY5sTr$0snExx1Vn>9 za%^w{mCbkK#sVcC2vYXRo^Le|2+|BQ;DxiX(9kZ0uHWeODl@+v7Ubnsq6`b9C*? za8z#Gr3mk;n4aUB^NfeL)Ib+dftW`+{#6Qg;rBF&=z{@5f!J8UCErE-^WX7BzadwE zMjk*m*WalsiHN24DV2bZX_DUMK1mF^O~ehL#O|QD!TC3j35erWvk@JLKyhoydwnp;gKS#hUje0;`;uTk+m3*s}cVq`$HV3`=mPbm1;6 zCfVxT#v!-wi2ACeLRstHRCS-JE$A6rV)|#x9v3;>cw@hh*|EVf)67O+NfNigfO2)c zuc1W;ZI>h8r=e#o4Q41C?d%muyM|3JC=k1LL`fcHqT6U^717?kz@Rgc?IYK7^~VYG zvU&qQmM$Ji7zCtySkt&a^46>n$?IJaMEub*<|2HGntdHy&p{vk5_|ir_|pjMkz_VD zh%xRTtwr%fm(VP7Hpe$7Wh*iCYR@Vy$F#bH;yX`u={~bx3iY*ZxX3nS#uThAxnM^{ zPra!HG%(Hfi+uZLx*b8=0349{|D@m#576eKvN}MVeR%*BeC=Y(;(~} z8al)Gm!&ygd*=s^I`>I&6CWTVVtDXAzULj%hFBNONitcg&I1u360BfJeyRq z9N_-&aYx5ecAlZ_=lxz^-fv$C0}JUu@bm`-_+u$HjFZ?6&*gPF%9 zxZ|=f;uX=OML;~~BIazN@bgO1K|{}qPbfLxNZTfQw!Llpy8QjCY5v*m_v1Zp2;lyL4^3q?d54Lxn|b)!a)w$O zgExzrHZq^lbW~>vJ*>KI+M&}c{KH{18%5EEd6$EUe>dl__lVmlYd7gj1iveL;E~V| z%oJSy4z2ceOj`C|!*;I;NqS;_;C4QbJCC?quk-x;0)v~?G9kRC5i_r?D*qj>Hmf4;Xt%YEmRRQQg*xWH+Azeb?Z;7#Xpxv0Xf%dQ}mP1 z+$i_8Eigq}&JP|5`t0YFF`(hEMz?pIG1Yhm_l}|)$17@8RBuc*$PWUK`KV}Jh8oHP%4L@V__v<_J`Oo}k?w;rD zxx0IBW;Q#?p0m#}*&4X|wLP03p!ji%v+EjMNH!hLC42|BXd-Sk!lVib(FA)4Y?2(n z6X>l_)KW|-l=Xe&3Ceum&aS>%qf8<|V@)D|Uf`732e7bnEzn%eOtuiU5dO>piTQ92 ze7>4oTc5}_^`5^RYWaYq8mOCdIi4WyIBvqHLa-KO=gtp5E@>YTVESys$o$pI6LsSH z@T?`V|Dforz(k>Yh6_$+U1At%t6=Zmq0#cxZOcp7<5`pq{Ue%ZdjCUX*1YZ7vBlXp z%~FCx&+;y=2w=Hj7JA`ZrPQ`5%|?_JMXSK6kj3`h_y;;nb2pi%RPaw83tgvu+YmB2 z5-3Cj+2ot63S*sHc}6y&v=5q9!|W~23h@cIO0sDrIO+eDobpq}LpIe)uUqqqB=&v< zeN)1@HQ>mpN-ow8Kbo|B7}@3<4! z!0myH?bHh()RRCq-i3;3_Ze|^S)1BELI6pcx1j!u^0SOxA*!rU3uDzs&e+-DV1rUE zvtvU-bmI=HidbCLF7%HTVull?p7Y{&!kwqqjl9 z(kFYg&T8YMxjvQ%w4=!Yv*mvzFT8&7{kv?aP}c>xu1{K3ul?b7GLohFntXpUk_=lN zfgt+#pcgjIefkX_WYtd^!Lcs*2x)~Ul0UaqzW-=MF$YV5!zG1b^Pq-xs>fX&HIjcv z&6OxdcwuWp$OV{fyF-7Stv+auz#A#57#XgMV7KRAgTCjAACL64KQ!B<-HB~AT82JN zkH_D9^)0dnx&0FTSwTkjH%4AO+m3058#U9KQ)a=vx^r}g&|Fv%;S0INqyH|_b2zx^ zGEAfraql}JFPu6uwT&`^4*%+UeMq!2U|7YUQc@h$FhMG0J7TmB`sL=~N;dLHar)La zOX?7-=@8PP@`tF$4ngkXJcInKY-ZEd1W_^uT)uQcyG}%u*UuLbxzA1Cip|()Gp$_Hx z4TXN_C^o2Xkm4S0>K%P&U=k>jgJ#PRMUS-m_l1`=bK$b_;LbKWD}#@_6?f<^_ltmU zm0t3w3cFl5Oyl)2XwLeMfc*nX6Gpkvw^J|`CdshBkI?_c>KeZ62YcrdWYWO+YTX6SJ^5prK zTksLa03K0dQI%-S3I_rhZ&+dgB<}a+x3VrmP}n`n8a&6(Jx_7Xqy>F-$^Vqt=`fS=4h6X|MS`M>u(I%f(?*w$L{dp7R_o59wbM0 zjL-McXhGC(P>~A&>#q+9zU{fa`Ip~E?k30^w{uy`)&3bK`|r&j->m-=Ve``q#Z#T1 z*YV@E_tdKsihYvJN9>=f(IZ4Z5}U10MFaw;68q)1nN*2S$aekIo{H#WE;3(th&){s zjq_ssC~h`*kQKJeYJ8`aL_c;go@3SQ{dZt0okP_#hcU43g&sN0z(O{IPIv$I z!pnCmZLX1c^UnNy&Tt=lanpiKvu+}S%+ev1ATg6sQUKMwDRZmr<7Z_d0a?6^nbhX< zxAqwo-y!;)U6UnWe15J14Dwh(d|m(E+}ay$PraO`%iUW#ue%nu|7BOTd|8eJ2{1*c zG7$s%|)vnl&c__9j# zQN@j%YbSx+P3(rR(WvWk(ErWt6PkpVx!vRRGH$mMaKm>e3CCFw|3Y}hQ)m;@m#+M+ zB^|*mc2leL9*{Jz?ubF$rGow{$e6!I4CsDQeXyzwWUKes?bio}-m+8997%}$W z^KIH2A0ARyJyEhx{e;@Hc2CYOcb_(E-z`EDs$PSTSkq6v7V<}6ei=2jU!c`Z##bdH zSBl&SJ$*lq1^?6|1;Bx56Z5`1q+3ro)ojNpZYJcN3``uEy7euw&&~ohqB%U?r=x3- ziA6k93Q||pd@!o>yT|(Xcg{Xf{=G^(Ld;dU?@%&B#&Qd!Eb|Ji8oA$QoP8xiB~Izi zBGUDN`T|>cUWRDSO&?YqY55#!)vgmwg1wo$>XW~%D133GU2wcG)4bjr3*d5Tylq=l z+#56Da7lI76x>%{&E%!!ak{Fvt7}rpCd!FmkPog7}&pGFMgp(iq zm(F0`Vc8zjAtnlHnlh3p_P|IfZdq0Vv}W&E3l5nH$|D{DDVA$098UCQCNWm><(SSX zJLt-(Eqyu}AfHq2Tcb&mUOK0emIANe{wqpup#-YBmnbzinReiVY>qp}k`{?D4jt$J zz}e+L2w!+<_TEaQE`1N3Xn4h*GX@;1pHY8Y;`nT0oUGX>AcRr@u3$9uZuD*xNV~9H zvd*h;Mp0`Nz(KBHTVyo6UG{FgU#KS(TXfE!I!3YbFdJVO4w+7bX#O1>wwg>#9x0%2 z#azbEcSiYA%UoCnawt6BQfmx!X$p*9efsL@yEdb}UA7F#@h{)n^Nf52IbxaoTl|9K$qZ%;UM0`NZ9PFP{z3#5nwL}cYmlcb-_ zh=TGvS4MmbUu7etKV>9mMH)U`oVcJyto_jPT%;6;*bfok)kEpY>u#v_@+W@RS~Ae_ z&hjt(-0&Kkwn$v9D=kpwK~;j3Mq3DPizHRsGuYPC9iz?`Rnz`jp&NA5X%II5C&Z>F0Z zH>u(S!R> zfU9yXyomV+y#m4}_Gln+OH zY8cz__rvSILsZ5r70+IJmZdwon25hIA}C6gN#g6gV^CM;=l-eQ6V^ zyBDlWlQ^`&Jp|^vd^uiml`);;paR%(ccvc0_nn{58XtNGN#4ttZsGH$mIuG2J^XOO zjk=^uCTWy!pi35IRA`{@ai(2N$$IZ;DDRnRUglRU_uI+8oXk)@;pl47 zr6i44Qz?_NQBlCLq`3gw*&$(}yYhu@o^H;Ud8n~A@@P#?Llq*QU}s3t=juX(+uELN z*~((xz?(2=yNu0N@q<6{zbrnzSx!g@nz9#wAWe`tLNAf>KwcCr3@rr>N z612N*D*@Iug~`AOa@Fe(2WU4k!LVJlKcBOs3N6Mo1?GA`o=oNW1(qmC5|U{XLk<}1 zZl08q!`KAMx!fn!i(5)Y-PLt=B?;MIZpu|m8BG%S}kh57*(j+3u*h7D<%M(mncJxkJ zbCLR`idlKYqU;tEWzaeI71Qq*+OspZyS)?nl-`f%kXgQ#- z{%WT}%jS9cly7tsP9s&4!&DeRTE%#a|Nb8(UBULx|9IHxXm(0X} zj$gD~RcN0cA%AiTn_l0X1?FBDo)i5KhHP>!&apI7*9T44Bw=UgbT8_kxVD&?UEU?# zH96Qh$q~B?t;a3q?NCt9d9hM29D^}yZeE|S(&gFJz|>~5z5}n%&Y$u3GztE6Y5`v* zyYzP-Nzjh)7+Yt)HdHwgt23V)s+{`&AQP)|58Tg00z@DTQ}v%ALD z*}3KM+TZjYP$Ba^)5XNEgK5%Tx!^2As)sw0$3%QNk9W<5r0Rv|)Py~;jN0=q*gfDj zHc>FeK|m^>w4I$STkY6Y*}|weT(|wSSk`U14D7S17>8aZ=i#PZ-oyP;MJQt{RLOB0 zC&M80CbYhb3JcDMFAMRiN#em?hSVJlJ`Zrb{w{y{xP6=0u?IVyeoKM)q9NHW;@2AJ z?d_*xN@a@uDp}sNd$ia1&iQbE`te$o7j9#@d%k^i&wghbd^4IwOzS2lZ+dllzkRVS z`8&CHu$eiE&KS_(CyyvzAt)Be8fV|iIv=Vnm=?W@F>{2uKQP^X2Hb&8Iv zMGcjKcZ0=Bn2epd6epd24?ixvbc(9>6v(?cnF0Ht+oQ+JGf(IwHh<}BtDp!b&%@d~ z%FwX(w3s+{;6UIAVcugq_j2caYPq%72LVf~b+*BQ{do#@?6{;)pT2UK+ehpUQLvu~ zH0VW6h<5mO4sI_$-WM|Kd1&6J{kFx>!42&pPO_H|p7Cm`kk6EigEGYr&70K(8Ts{|c5CyZ#AMx&- zib3rGVu#%cNol{g(zx9{JNxnEdG{Un)hpT8 z7t|d>{@$|{0JP4WKt&rQpV=(tBq`OZS@82TsCORxe(bHBW@8lqajSi`Md=aXzwB0? zMZi~{Xg0|!Tl2xBv|t&0TJekUck-!3jkytnPBe!0UcZEJyFy%QKZR&4A@}EY-v1fb)XL;7N|7p#%F_H5g!2z0itBz0eCTmB(n9 zuFU1C&qgOMyfe`SNh;Q?L>qy)gvwwir~eddiPcu0vGm;FsIlANz_j03CFb!A_3+v1 z!VN-tGva6SmTb#GzdxTvhF7HF2T`s;8|(htHroqOM=T^*6*fg5{+K$uw;Z>BElb2N z_j`LJqX0@x+ZS4{v+z@>o}j>7bzq(3C?0)~wNi!EcG--e{;+z+b~#M{J2{Q$z#JtKiLVAa~Z&R?;gRJrxH!jL9r-@k~>tz&0E7f(Hwq)~^S*Rrq zn8ll&UTBBvOhN~wiXyS-PRZ<4f+Icij3~yIIt~fze=M@we%Eg?H@`_@ow=Yt>llx8 zZ!D5d?AFGRmP~TQRA;h};DGW->7$bru*fiPQCU8?Qf~Bw{=_DHBVzPU&yDiR%J-gX zns8CIjfGfPhs+xM3F3vafLu-XvdyQxe6qAKWtj26F}IUiGnGps{W`DRFhD1~fY}ox zXDaDbV{gR1fz_j*xXijD-v0l9(Xt}`Tff3;jx@GtKvrOuiDiH!cQB4tcH!=^F?S4s9--?n!Rgk)Vs(;v6;ywEDmm(iIRyBbl4LL+ct>ybN zR*jR)KDFE#HJgj|a&e+N!?yR-tR^L-m1C@$!2~u?vnM+btTPS|yEBgHrd>-umVJFs z!7P-VpL@AKWpc$_`0^jbu;U}6d&FG+$SZZ#p7ZRE=xL@zb6XAl5A-eAMJL^yO#kGH zsV?7Hzb{7eFR66t7t6KV&HDO}u$)JLXj*CJ^|q3K8bsXV*t4qqfUH3r7CM7pMyFx+ zEh(SaV46WRo|sBof+<|X{dXPHsgCm)w5WNX z^feP0@DuMvv+caj?<3%Wh(CK@^(}PB?EWHoIrs0%Q}o^ykZ*qsFOvgb(oxek$~o0# zKSnBZ%bB_BNGCY65;?pQ`MwhQLMPac`Sq6+84W`;J$5Z#nm9O*3kFc0N(?nun%BB$ z1ZkkusAJDg?P62YYx%r#YRyS3>&mT^!PHz|R!y@D?R{_Y)km8gqvKa9qm`p~pH)U% zMyEcjgkhv7i)SU9Uf&6i*HTJMUpQf}o2M}}0Uz&E|YstQ)3GQ)~WZ&`4KP6 z1eS2ZqW@I8@3coN(-#U2v8FytVIV!?ks_z!dTxzF`{bO&7Xx>trIQz+?P{~&Y`6j{fS&Y6nVZbN2l z{G+tt`b@lMDu;DO2Ujuv0Jv#8*tlbqw~iO>2_I&g>#npzFjHIwE# zaTq^|ONcVRQ*@g%Wwg0XK6eN#&Po-Ws!CG4kJjioS9H@?{1ado(BkZMJ3kP$b5Vd` z>AduISe<4c+qd!t+-ZODKfgC<K9lw)J@9M7+c6ZFHhOkKy3y2*2v1rO?z7^55R@r&!{L&m`qzJcNopyh4j~?U2@?J$#50GKX#}6#4g#ZTcDpi%vOGZ!_jnZ@_Bq1OYTx43n z?8U!(?#QTaze;o4y5gH)LMxK29FWYzt|7l`yl|;#*pQ@KLz7GsR1Drtt)A%gn|lhB z>!cAx$aXvk_$9b)pIbD)H!=0-NPHTx&{*1>et_O)`nepPt4@hN7g*a>i6Y}DUbCo9 zQcRyq3W*~I+8!3zMX^TWNcgkonrtmC?zYcNgo>}3Io=ZybKRc9F2o1K{2QPC&_l5q ze?93<57r^Vg$x5TBPpspd{4V8$pM{bF1cj{&AxZus`TRu#L&5m z)hDl$rulo*%8sFZ&0WFx(XO(u_aDXh$-CY=fGzvL6~LYyA-)S(H-Uu=yJ0eKud-uf zQ*?@Cle3F0$I}U9%nUT9(PFi;lrwIgVO*xSxkC%H|E~(65xnG zW=K1hF1|^8yTS@C7s#`{+D!!w9+ZnhU~x}uM}lM1C!__rLH0Q5&&BBbn{Sg30Cw?@ zSyrTl7lsi2)T9l~O9yenR~KZkQv^K>edY4}!HO`1Ymm`f&MLtl!vOt?z*dg893k^_0>?Cfs8v9-swE1+M8HY(!gV2mZ5T3im=3GeU?r;Gbh zumx|Z%7RomI+cP%E`#*xILvS?;>qbaG19*d0;n5;W0|2g5N);wGSRhgzcSj}A4EH3 zFv{x?8i5w2NcOjxe){Cw`I#O3cyKh%{%3%J; zcCQ5O{C(SccvJj5%Mo|N&K@j3S*aCPC1ZP^UkIr_N!Ox0voc2lioG*5bG zQ8rVp<)+6Z!cy>wVDo)cbC~u^P*El#aWQhR=U;6}x#y=8ZnZ-dTL`lk{b7z2`Qe;z zs%hZ*ac^x;b#-yk-3sW6@d@@)b@>NA0+9uA=UOS7oV+`@sl0~o&;ctvap&;;7o8Yd zKUmom8SGXSS6=g^BnK{H*Z9&frb?SXwg=a`y}o9c%SNj=tvmE!Nn4HA_vEvD^I6uT z?tu`L66=~m7G8@Kui|IDnDOT*9F?EN-jk9hJgTMH=%FCQvd)ui`Uk>$TyYa{MA$75 zo1)0LylcO;jhyJJWJ7}1|}R4^0!U}-e3Olv#PxsLVvGwDd*9DNR6jFXS!?WzG@m^cRS~9HSzbD z+O}*?@aYCDrXQL5__~!&)x$8g1+LI`NS>4|yd=!vi-Hi5Fq;>AI*pZRaKLSSV?f%< z{Mq8>c}O_uiB7ED!nJi2Un&|3#blO&k}>qRypn!okgqXA)5G_+4YppqQdRJQKfd@;N?L0=w1THI-Rn&guBzcF&+}F*TPjN zRI75?Ew-)nJ_5H!`UbA&Q-K$7==K;T`xb=$FUWSJ+9>-mnH+m?cKPvZJYp9-B->`X zvjx3~W8Ye@eOMd1*xkCh+*MSuix>BU5bi)Fd-w^&=I{g&cXL9fmlt6f1t?t7*|JD- zRfg!n8`*yKdf)8QM-tahL`8vB`-Wy3( z0lUUVWS@61akU_(31Vc%>(YlF9|9<~b2M6e+DzPZ&$toxo`ErMIedul)g0ci%g9BF2^^`-7>GruP95%iyuO<@con&oI%O;ENwLqK%kJUR9LO`O_EnJ^hTCOP z3>mxGs%}WT%lUEFfZmQ35X_BQ-}~-9c8Lf(ILY2us1%)Se~L^ z=M7zBPq#8{102CK+xF-1YL9Kfk7Sa-OFJ|5pGO1+7>0Obh28P+0eA z7pBcp(`E_QzM_}jYz@a3vc(;Fn`F{qYu%RhaGi$ijEW5i)}ziwF1YupxAR< zT6it@MBVOE@t2eEvy<~$a;Ease4rp>yGfB{?$=Bbuk-gW{*zzBbFCz+AH7Z^3ng`s zn(9xB*NrRGGsX!nFK?o>L$9ZUE8b=jI@A@#n>={eG;lLe8^(|Y2Dap##7%&hxOO+V^+ zUUaJ;N5`IKZEC>f<<{L^aMRm0`JkA;?T()EJTj7TAaO$v&!Z2_wZzYFag|je)t{Hh z3=Xs<;ORgp#v*2IMiKs`e*(%R?_TDI%AWp=erhneEH4YK2fjKjxAWOV6s_%MF_QMd zQV^441J=lA^P;cKKA2!`6y2(>SqE2y0VKb2K6pPFpv{$PvD5-e6l#B<0@YXg zJ8Rl+%nX)!?Cq3!H%z7*+)4AODf0S!=l(cWmI(`%>!~-N!qu-G(b?eE58A8!^rfZZ zeVPea@+70!S)l~kiMrA!L3@9yX(b#C`3Zo)Z&z z?K%8}DAse<$k|6~O8j^7O+AQAuYnx3bB|?Yf0#x+zs@^*r!XGrU@Nq8oThJ$oLc2` zPz(xORy59P`8K@AFX6VMXp0UTV`%3rbmwf-Edn62zHPeOxq>5`9+6Xdz^;K%dTCY+W!r&oPc( z9-nCmw3v;>mtWrx7z53*SJB5DIp%x7wDPpC(d&t0xUW6<^y2?l8b+!Hofp@P%*}Om zE!?=dbRDSM{96M9h5WNjMQPM(l2mz3J~teuz~Un-!0-|7K<^XPL0I{odmsp6z|WZ6 zGNnCn9wE>BF~h+q3TPDd!b6I2)JB`BevOs^7x#A`Y%gAmLX+4^;NWV>7(RGn^&LK4 z9#~bu1~W1vki14LGC5$2cJa+CY#J3q20IYuR-Rbr?>f5N^d#YO-7Uxn>hpV1#aRzc z`}9K?qqhI7NMTvHQy{C_P*b@c#1T2iQ#uo#qO0r(HZ*ByZgKWr)wc&PqwwygYc*^8 zI7RG1%Ce^rRwAFhhdq^=CO|iEL}B^#Z>Ab4MVIKNf-1%b$Or^|#l*(RoK5vOS(?l44BI=bFF^Z(!gqJJgaX`=RA)+9gG%pL%(dQ?eOwYUVIAWK3UDPNi9Dv ze3F>jiSonQ2HXe785f@5w3s~Wi?+pg0X(~z%C|O}o%-da)5N)Ex60DOsCTa4ro(a(C$Zjp$SYO&YdYe2~gG3ep z_;|MqM<6T0G?zkGsg01M!*N`V;+<1FGXeRkXH4p6Txt$E0(St;hAtX07?o)^NzMBP6|zJUHs8;LJ5m) zmjFK4rmLG5l)IeW@D_0207v=K@8vlnzJFqSQMEQ#o%x#eZjnhYSkbq#*jP~;z0WIf zYz{J{@JO*_=+6A71k@VK49NbFwfdALTn8<)q#_aMlp!8g zHM9Mp4H6tp_B@t2Lf_IXIm~{{mJ^tuQiZ~W>7uJ--=V0AT$1O)80*Jv5(c>!Ynv?d zJxD6V+GA)lJy~BT9@N$x*IsF;>sHN=Ezb3 zc3#@2Ys!najCQN$HuHE(>>SGuBk;CqmXz|t(UcJV@WtXL@eM zU*EK^I>JgBQyEF#Bh;LNUQhP`q1KI{UYNbHN|i(Z9pF@v>|m~h_Il$Lf&GtLly=@{ z5mDWHn!{;BR&)oPqF-?PJ+&pSr2)|eYJyhL9&1{|SAnY|_%4Ls=$#qaP1&D63Vn;W zISyRxo8^JL%MyUGweXB*VlA`ESd!^ZOGdkuqRuZSy741ii&x*OtW{uXOa3&nBtwD3 zXP|%_Mq9n!K3A|aukU?{r9xc&LR?koUT>K%Ei!I EIOZ$1@SI8J<>*J|IKkw{@$ zA_GP>vW`R;Wd&X%+Z~fX=R7hQ7Hnlzf3}{8Xr3_WJv5{ZHw(F0W$U!?5HnQZnOr+m zvFdDhLRI%DtekD|Oh$l914LoJmA`iG^Hi{6@ODV49?IysIW;*oOICW__pH^qhGXB> z-q9(Zd;t$`eZR0aJD{Y_Aq@$ilQv4;H-8H{LT^IK%VZfua4q&V{%WMF^;i&T*p{;J zw}cB1gu1D4En@JJ%n(y&nh&12pT-uIeFNoW7|VOejo5FrNjNFg1!+CZxT0h`jg^SB zNEVEVH9H)5QNSNTJ8I#%qY9S365rh$ge_O!I8o^HSF?JYll+)d1}rYtIwxR^UwPEj zdpV__$LcNz*V3-pe|7D5%Z%?}8=9>0P_Yb>2SO@bZ~*J2WKAZ%Ag#xLXwP87?;rDv3pbg zHLVtB`rP*0*t}V_hQOoj-yx13%LS>C2L>XkrP0m!mSIz~Ch4g3mz9AW{{*2MHa|8T z$7iH{@Ep>{JMLB*#~}WlgH}XjzdNtrJbIky6tXV7Nh%Jjd&SM|3RAtWZB&RtP82pu z{N|Gbf`6JjyfC!Qel-U~<+*N9br;gr{dm@NQ@i(ww0Jtj?cpDJ{j6 zab+c~&-PTB_vz{rRr_C4Cqct1@x@iK0Xz-I?CL)up%wsir<@rR5>!5~*xEV6D&ll_ zifk)k?69qoByE}FQ9c!+s7`M=`p?7!*0@Chgu&J%|uSo5#*d0Bo6db7ER}17OIj$V~S|`wM=jK2*>+aA9DQ}tU8iQ(6S8!5UP9Sl0;>l3l-i2Z* zD{t|@=e{=xgB<)hD_Nb}MJhQARBe3oN{cIUK;D(BAbjwUp!Xl0`777yyWpiBHMn4T zc~)m$;+%Ia`?DQHA9d4HZw91Y4)9o2-xv>h-Y68yWE4S!2;K*tGW-*UKL0DD5HSp4 zy@}&TFazy&EkWnLZ*9!TK~wp44R<{jm%Yvxmo-@rv@Yb2b`uz1!5{RGd=SG`ulNH7oUrOfzL?c{@#6A6#Js_ z+kL>f+7FT^N>u}ham>Iq{XAKoiPMdtTz)bo8}kjVlZo8T#RnU&!uvl;{9K%dVKdnQ z*yGPnTl_ixzxe%U>$)2kX3=uwy}u+3*~o2VO{^Sb9Tcli>Tl!<{u?2I?J;z%%?O@@ zKG(f2OI!YFq*nLZ4*RmU%TTU{z(>ZaOVs;(ez@Kb&_$MysY`y5|53udAcefGyl$EH znP?#BC`8kgJ3XcFFFf|f@tS7eHSqBuj`VC-_@uG&sAeH>BVvNI)+yVkXSxl5-u(Hv z0&~p<1Xp?8SJKCyD>*tzB-s7c1{+k%Dz?w^MFrt^q#S4HI=wyo>HBKg+8fr@De{|} ziS&of3t`~jz=OkBa+W)a1Lh*;yr@Zrnt#N}e@tk>Yq8C7Ci+4%2% z$o>dcE#tXN{n%fQ?BttXSJ-)bIFXaN$lG){eY9vgOY{VTns{itl^{cRs)Vu1z)STc zK)g>!|*wA_pIU>wNg} zTS@1;ylZ2b!Zm+k6;a&SX2l#xl|r+LzPk}!7M54U^x6=A#(rp_Rf}sq*3vx@Z;O=ol`7;rCU!A1%{6`oxfXB&)?wSz=+#Y|V+kAboCkQ1 zlpRWhm#VwY7d9{Uqr>_}{57>qH8Qs$(o zdv8-^uDB!ooSTh2jQ{epT_DZ(VGMZi1@7Vj?#Qsa$$pTbAb@$K^G%t~{Jz&`kdYa@ zhP_PNw;eWz?=3A{Ud;?Wn(eZ=P26vvalKaJH6uKHxC!VG6={QY@dcB#vsE7yj(CHC zjRU%vs8E+#T}ELg4LD_`x5_l3!W2>%qCr0~1Z<)pFCS)+IlN-IP}a^>`)@h|;BCw9 zvZP2wX>6y}H<>Ks;dDD`1kzRX5YBXXw1oSxQdEcb*&3K^6e8nvtgM57>@2H@!gvZ;vIsQVU{f?d4Pf;TcyCmDLEzb6C zEzZ$ByGx9-_dV@de#*7_E@x4G6pTSAIrQnD#eZ~vA)dK3N)>XK{k?uE|HY*uL4f&u z9L~p4Fu7{w{0g+?qt7NYO{swugD{!nd!8rc!3tmQvj&`Cs{^1^YYz zQ!efh_UE5pbyZ#-b>}R<<4KZUgPuK}9cJtfku1@>*yj5A{_X^adRDtS|C~bl_UH7~ zq_C7tgbEHBrGOaTm}kOtNF|cyEzE{J{^$196s8*E@Ou{~lHbOyPBobr4kgOopJJ-1@j zd3V9>q)Q{7Xw)(_9lg}22Qq{f0v~o{2+0ark`tYI-?vm^?yS^fFVc7hxyRkM2U zoAp^HE&OUCeWo@jP4S(IMqO6V-=gLOCkpm2;G)q$+-T<8FlI(K&uGDHBCC)o3$|4j zps|k4WyRVz9~75!%~b&OUpts3k+juk6cH{TtN-X`3{l5I723;xSzt1sfi7L7&r!AbkYi)@vyG`zssA+sT~ z4kxkB9Q95aYn}0GCjk#Mw=~~l8XEw)kqI^zC`j1D%$srSMNE znR>dozbp+QSJrM5knr#Ve!D#q_q<u#%9AT#zfgg5;#sr zwmUG2*J+(T+Ft&~9~ZnIUKn7y;0fZ}R3LV7A5{9(rKh?sFj1_f%Bi(=$g^}~yk8Ql zsXm`xe$pm=vEoS+QWL`#bs87}WQl(xqUFmLK?=$UG7Nef9)O zL=FTJ{}rTR@A<|`JNrT=3*SYCLV=TH`jVA!>&29>N#HW^{tRNv3cJ$iBOeuh(Y``# zc)b7dKM&2?;!p3_lO&A8%Yje&ULZQz4Tf1GUIZmrZ|t+FrTB{4r1&Dp2T1jaEUCSm zo+&ufTRKSoHHk6PxCxddlsKE2&Fw8RWkCs1@nd7D_$|*j2@PN=JG$wGF{@+SKh`~ZxL)0h9S(m;D81{` ze#P)AGD&LYdO#fVOGMBzjE?ideBs^St87|voGK!tKhGuy2zRdrxpUn5Q2ywxp623z z|K$6ig7+P8pFXfuITgA8+b-ex*T*n*40^f)olRNNv)5uyDSnDL(JVy*^3k7@C75Fx@Re_hZ~xBt{$*&I1Gx21i`1~4cut~I-Wz5tvww@2Kdkc-O#oDfIZ>oK5t7+~;GB5v>8@I$ zg-@U*UG(nWy7F`@t#pe|CyG6TT23q4`f={Za@Web-0`57%Hx#K+$gJ|Dqrq`S?~7=`9wY$%yUZZ(^LLg z^h961UC|xux1lWK{0Bko?NeQopgb#}-*X!VPM z?gCmUM~)gLJH~`-z4k|puwSRNvBdF%Iz9+(8;3jU@+YeeiqWQvXBBt$N${Q=YGKo1 z<1FS!Uyc?COnUo|2$wU%$r)+$EKSqKj_nLRBN8DBXP-OnxdMG|&mJD^yvo*=d!{Y! z%y*otI#|)UVkxHwppx$1=A=Lw73Hi9{^D`fG+5GUm}XNJ1Rf!|YW8maVK+2pdCAPQJPQA|zkDIkzARyG(vH8tzNl z2ebblgr#D>)CIKr$RrpmiWD}n^6`#w{sVH|q^*JjJe+IP;?uMQ*)QE2U!^~l;0QoJ zzt?=14ZsPL7pEuvWrm(VjmePnQ8$9i`R7CL&WFN2ZE3~|CIvHXb#%~gjcjwAcmElcCat(a@pX~Wh zcK#<@UjaT$OUO(c1Alen62Y9Zs5tO`52`UxN#+aC()^!3m?Z^TNu)|oWRTsX8II?V z1X!xnz8qS1ldt(dOA!!LzU7m2y%a+MtpqMB?r+;jH7UhkR0dRdlOOUUQr<}yQL=_8 z@>xEb;SnTh=&31~i8IbJqWqs8`nFh0R!xsszLh_;I3EwHP#&HgZuXrav@aizAY4O_ zRAJI2^S2zoz3~)R7(j|<7*T;i)RkN~M>}T4AX}m6vR6b3j9_~g>KmrfY{T#}wEElp z2&Q+^TH*`^=mu5==)<_$YO$rk04e+=ctnvdUIE%LuCf{!h}QdU@FDEdEc?#&HxpWR z%sXjX2^(q&b=v$o-2Bpnf47xsI#bfKOSoj}u?GBe_k{x`Cn8DRCqNsFHQ>o2X}Qj)HYBR|Yp-!ps_a6$91P3^`uc|}@pPPvtJ#=BlMNXwRus%I zO8+wenEOJ5nkby7|4Myo8>4)`wuciYipu=!iyq~#E_BNGI{zPIZvhoY)2$2R?hNkk z?(T!T5AN=+!QI{6-62R4+#Lde5C|@TV8J1GlK1`2J?E_duJyC3YCpTX=nssO`n2y7IghbjP$fKhU&_+s_`2%xr{LXm7(vPLtrWT zjAPc)gb-d?GCM*RkttIjmkE|OW(6}V^*H@xEpeb(rW#UxgoYlz0vN^B!feuLJ4h2W zVEJ%&3vFBu?L^_h5h0qjq+-Bz_pw)OWe%M;0i1m*UHh0KH;ov+UMPI`)>)9CrL zixqGouoTs^75V)yaU04#o3e%C40%bV{d+q-wZvGIcZ%a^hLBqXefX_IU zElu>1|0sg^*ZRmm^8e4356eV&$>29I4Vi))hAc6z@-+mc{Z9jsnBp}GZOm>;0h+Pc zy8lU5->zkR37=!Gb$WW!FoN81$z`VI#U&0#P&o70h@v zkm^%4NWT9I^b#|6+rWD5uvladt{opS2%`Qw1d<5hIFk4c7(=EM|00lP1BQZGq#9DZ znaU_M--$Dd4!9k4^pXFlxK~^#OOl43ZUyLHg~r4BcQC*WVuo{~dn0dP9x}CBtTVS# z|I?NRkE&~qzk@-OG1T_Yu+U_fGY%fo*n60IN54?JrmOWFL=LjBp>$ylnKDtJut7Te zvhX_lRtjd0qBaA-z;&1@lE|3h>!pI5zNbRyrjfN>&z9F4kiZcsVo*d2Y@+^*g(d=T zGDZ{wOunqGa;{`Sk7U7mORH)m1Ya%c@KXukGkj%>D19VqDA+)mMy9k`L}I{!1=0}f zzlr3Z{-7+jgaBUrchLm*#=UZ74shX1n1&M7v7-b-6{cqw`DbW{hz*>ngi92wW8Zv+ zXsc2{R-}d=u7a69Si{GRs&D(y_&j@xq^r>Qe0qYSg*58u0OyGpNk}A}!^dzDNx%Wlyv) z($D(L46=DD^ktHgm^fH*H7ROf11>}bSR`-6UnD<>fn!+1#{CD#a?8C>QuCLgK&l{C zFX_*+0;rRJII99^V+mSd{xMIj2r`)wb9xbF3ht-$ld-eb(J!K?y~?Phi_ix#r1Y}P zvF;;jU@wQP4pR%I4zoqF2T+G8jiE%40e}r5f+VI4M%;vjTef*Ort*Rywz67M4)TE< znf4!2lXvMC>#n8z&@U}eM;mBN*%eU}n@1qW;=&d(sv6uK!R`y0zs(G`HH#BJGh2qf zGqSj3vLiXXU8^9Lw;VD>g5N)vMyRjDur{Y-nC)nw?9wJhg3Wi-Upry;$0+|7mKlRC zjlo%9#AYfXZiSk{1e0$^lQfW#D;6(kk@Zyo+!zhL1cg@7$u7P&cMR(a6uc270%Kll zw)HIE&qy0edO~=Orhp6TcolXepR`8v9hEYGvr%P>3VkGxJcILKu1Z0TN{h0Z*#yS> zo{I2YhUm%)KvtTDo`QlI!ynhfK?CwPSID5Mk`@4yGx>NW`V1;;q=ShF$@hPyXv`ty zgy2F!;d@gB;9mkBhI0UT7>wTi9R|V9DJ}UW%LsRAa=*iHX9H#g@E9=jM!1XQD*!0+ zF@cye6HyWB(wMzBWOe`aJ$;y(G;wbkwUNP@61Ri^*a`i*rVi2)FlWsuw@*971eflo zHIuP*vFli6wD#8gG7(E|j*4L4wu>kOP^B;PmGp{}_y=GjQv)~tD?>f|10( z2Qf++RR5wpdf64Qf24h%V|tYi&o{JFsYSYh5T3m@bcwZd(8=!W$AV&PjO?M9o8%jF zha}^ls#bxAD5F)?-dNU+X}|z{^>Dm)b zQ3-#d0CjL2B>p!Z_=Eo1lzOF+RFiy(?h`naf7SPCz`bId>96`8#8Arp66B$aBmZ9- z9BSL=6(`3HR%b+zjXmoFv!uX3Wf|>XJ0vFq?)1N?4slEIBoLF_t$>n|8lX;58iRxg zmi$(5h-Lr|%*ab);OsI3$|wmdi2t=inDWi^(xDktf6NcqGC>`n^RoXjJDGpwT>)%% zByDK^#zx>aa9^MC%Ej0(|FJu_;sWMiW11!XCo-a>mjy_?S6Pjv++QofmjO_GL>L7d zpGR$aVCv_&W?_!FoQQ);x+%=`mi{}itw9RBC?(d06}?wWs)H&Hp!cDJG|UJH@DC9F z%_|}*CMrx)Ot!`oJhpB8E=ZWfZbDytI=MG0LduVu;i5KsN;0R)s;Be!a7MY*%E;U6&tS$_8uP3*pkuFc{7ueeHS{s zRBIXoB%QXf?a!KO8vDY!E|4~QnQYIR$Qv@X-C-Nkm~1nJGf8zOH#$=7ALTj%2OG1{ zwWg6RoJPbO+)pcY7P0MdL`u6_vF(Y3N_999I};m^jpUz%i-H55-@vaB#Io##N$2t0 z`ul}NKbjl{1%#0y1WR2S#4Z&S=iR>Tqzp(|M=PNbkZ|%>V2#{7yzRZ7UmZL=vC+qr z5jnxu@usa*W}?Fe1^T`oT;2A;U^ljmS0g|83Tjsp78e`8{n~TtgbDkhlKh+Xyd z={DJXm6a;`$AYA=3!+;M_w>u>*ORk!X?@rl?d%AUmw>2f@VjSg?%!3X*m#|qhra$o zA4rvo?1lvN9ei3oCBizhxOOYBLYJ+>aYQcmR39w0SOf@kW_twK;W5fU98&O|A2S_!Mfs<7i>ZP}p#e+RR zE-N810(6wehk1LdFC?)dZ7kAcl7@QA@h=Qor6!ykFt`YX{5V`Xn* zfmctgQ?7x!P@jVKe}WWw4Jir^@hht)OA$twD}tw<7fCxJo%Bey?~vu$C?_0r?g7)` zj2d8oRyo0{Es!TqJ<<9f=)_%4I9mg}X=x%aDjvVdj;;pJJ$_)F4i`K>dGi&X#Lrkm zf$fK0XZhp|;SK(duqoFk+K@yDB8V;no7Z`^-~PUDYkSjz<9HM=pB?+O^(pjGI~$7f zaZKa``~w3%{C<6@W8y+C7B+0$LwfP{7x^gKFG{4B<3HZhICIzx%0+%k@UM$rh@6gUasQmD#NZ_~03 z(XTHt$Jd=zEU_(8wUU8&$~D)4DJf>K{@<{&n8CZPQ+c3{L-EKwi+CN)4S7AM7gpM@ zYb*dV-x^f(4gV*sW5PWYFPJCV8wg3fG8We=|Ln^~-herSy;@VK#Y$eME>vp>-s-LK zKBNYCUf~X@p12`@098iVnLY)}{49Xjz|E>Ww%jBPbxvt6n@uIuMy!A7ZReI|RB(js z#`;W+D25TJdikFvU$-0iQDEOK~cim9~B_S0BQ<7Sjqp{0X|iM z2jui&S=d-lVf^5I2}?QO6M~n^MN!g(!s&$ zsH-7oru%1ux(|U`;s(u6s4PP5&jdK%?A4&u6C2)Pk+lhjuZMt`b^GwsjcKqY(^M9_f9v<#i~qa*kG;?HIoF7rG)DT7VlO=vegYpqiijFd^$|}Srv?6gGQ!!AfJZ+U;SR99 zUN|ltlZ@J%y-q9J9fwIxW3u_?keR!Z5Olv&dEVsp)`W(MUi zJcwQcn6FaV{SfYBnx|pa{J@Qpn0+Ab85VBt+WPS;lz~6 zmPEJT*Fi=c1*&W&q~BOi%|nEcGk!V3IWwzeQ4p*fn09@#40YRjGepwycIIqzNbd(v z#C4k(qzH(fLCYmJkMod#(-mjxb?F*$S4B?1ZE;T->I})O)M|yzyYp2^;{7sY60&^s zyxd*wksE~D(14DlV;u_1)IJ(E+Zn7N)_d%eyY}$SfO*k3I5|CcaqlrtMPAP&au6uw``XF+P$*Uz=tE!)I=jF z7^^`1{JD7%Dq-*+J0N2#~2<(?pPEy zI$mDha((lakWu`&_#n*p4LsT_xr0Z@ihxBZjqz&vfJA$WGKzsS9~W)xK>MEHh1I zoQoUMvb2J{ix04=xJJsj++QHes_^qJAk;Nclzl^L7@`i%#iL;L_jEP#a6dLJbv$Ti zRcXU+v`kOQg9L@yo-OuXkqfQtet+C*D*kbI_W5Z0ob5aTLny*=`^LCvSxyzmfSPeE z0kE0EJ#r{znD|Ujals`- ze*pw%eIeVXQt6L{Gv?X{94v5;Y7r=`TZSM~O2GXjNri!YymUkvNoQT(fN<4=aYiwF z_iz%&NQ_KhLJsr1*&-_y?yt{g8OoY^nMou=bNMz$v#Ez7qe>Jut2wsonDJ30tvv;a zIod^95yKUf#MTU-H6j|;%rr!!C%yS+)bM47ajaJqTU{dwNI(Q6Ch`OPGq&)NgE-b5 zRQm3o>y$>tU8l(PC9~dsb_2eB&i1^K>SP{OBwTkj08?H+>%WcZS ziely3H@!39fXxi~n`^WF(o9$dw%t!Mbo9RfB*o@r0(@qII_87eM5LRS6HyLCO^*Dm zvXNiCJ&qF+AeSYuk-H4!qm`N0ooPt;TTS~iJ&#Ai&UKaq$dS8{dqgHvBXf8OK#A8BD&m#&s)mU+8K6n`Ppa zJ?+c7`aQ5aapaAM!klE%?psYa4UaJrA=BYIz{pm@VzBGImN%1~jMX5xEVLf<_OSJ` z|AXC}{*mx^kLyvYApb*$z2GYW+-s0y~#mvs0Bp~%5E>#)6awW-Dha^7P{_VJQnzkHFYNJ8`) zc9&qEYCcUg9UAMpBv{ROr<$hTH|ohYm9a>|7?c%fW6Q=OJ<2s9tEhT~a=mD-DI6Xs zpAb>B^~N`5NXdI9YH@TQb={hl+!;tbIo=vrFfm>m7~y|(jR{ipDDfTpEa|ea3tA}U zv(=_70+;IK;F2*VS%(sQ;&QJ!15lkt`*jU;#ZLP2E-qaysOY5m2jL@(&&}k4z3bfRa zS_}lKFlri{REQ-NmU37jNyQT5OTlu&;WSG}h`R(R(W0Ih^LnD5<0vQ|a8y=*()FzP z2ZAp2{ru73OTKJVNb((Gn1b*S4-8i{sJYS?0R(<{$fJ@^0`#s)cL`KP`vxI_@wSwW zP^@RK0<0v3z!g}10AY%FhY-5-^sRV@k;!yE!V3$GH-fOl4hK{+#(EjCo2h>i4-^wj zmeG>XS7UC?2}26E6S5TQ&IJi=yfWv~tz1=>Wh=Ji?hM^i$)jqKAelac>q)71YFw)E z0`AK7LJ|X#6PWT5S-_ByLs;pLJlYe|6c&*QfHG=oKKKa?O!usi5g|?0=hy2N5tm}; z`Rs$YyPhwn_nEi*Ly+oAx(ZJU@~X>-L7nJ!_VKqujYNtbuWDbYSyMTvuoUs?fjfK?qhu43oXX7Pr*G7 zbXmL?>NIfm=VEAnZx{xH%XoUR@UOF5cdLFqne-6VAyn<|h`V}hpc}8+s@Wq~63tfj z)T7z4>T{X)yzlW6bS=66L|cHnIP!r*JiYzmPM~_T`keQ}*~&tJ>^a)I-=6cW(XLwU zohX7{=gI92^P96x-#vWBeY=dVT>&z-mNJB?2gAzdQ|sqRvnx3?|)cFvdeilNX zoB8v*qaeA0^+5oC5L!%HZeTLL5)-qvOgyT1%e8jp_Ry5B{lR+;ruj^eUz6*>`=QJu zB;BHV2Bs7BBz_Y=`>^%hO}K$@nuNeBwUa5OZpn1T1*SY0?AM7}Z7ne4yYO3UZenPj zOV*w1ch?1CSKxSm2v%!<(OlAXAL$EcL`7whufoOe%nPw=po|Fht@c{I)dxI=W}FWczXDC@oZL#9H(j0O{Yo)w5?h^dpARBQP{a1wwon70x z78*KqHOL#|abkRq!Dq$#Hhm;sPuYJqAF7jn`6dnzDvSWHU$DPo-ze;Q0&J@|bKxMpEL2@Qxl#B+Yf z3suhdsx1_oL4GoSJ;O84UZ;z>=)H1T*(X8GnW1HVlqaFdv*(_TKD}S3)YQj6nAgl$G8@7z z_Ou#1@=_6k%~w@HpzK(F!%kQjJ$TG|J~L>dRl2C0R!Jt81kLhDgSoTPNXkGRRRhJT zE{Ea;E8P&U+O3-P9MuLm@tOMI&>l|rHWjVb`MVVZnpI^PPT zF@NxQ9(#e5vq#L8K569Q2!O)fq^;7ex;2XHHrtn!enKr@b+vZe-A7Bk%g0lDr2(h)DM2}lfvqvfni`@lfaWkyyjJDBO78$2+$DcH~Sz|T1of$P(aJ`(%;+;(^YhKJb zhTQOx_|ZU+vOg(zj^km7MY2PxPp;QRYJoOT}Up~rQJ_&;oXx>|)x zYUcgFyB;3uz!A;<;9V4Ymw`Pb$HC(r+*~)G?}tJNV&3aOAxM75{YP&o*Y$~;bC?~7 z?4wOYae44s^YCg*j0(L1#V;h^Km58ih!ScOz9JSH6d6}>pO?DQjPL6S{yzRbuwW7# zV}S#$);}Q^NObHZgDg_(>@}a<^G9OhvgAr9{4PLB%&A?ha7{Ip=B@-@t9DUwlx#?V zxY&yev9v#buaE0RX?M_dK+|RzD*4;U@S8ndhPYSvt{nFPUI9h&7s7;(=d+};9zKRbHSicZhf@JSd4R5v{t5798(3_bfSv-tK9r>va%9 z$`WC_cE~~6z%&X{fP8Z00Y(XD ztXsJCuv&i*G&1)gWbV{{n1E8An}Tv9vibd0ZN!a8*0(n?p6W8G|E9~rCDpq__?yet zgrmu(jI0dRsjqKGK%kHDwQ~C4b!-YVdyPu4im=9m?G|A{%Tor~7oN*2H)t|4zW+bu z(FvN2fcG-h44TY@?=Sh6`+t*RG6HZct{QBy{)sONHSp!zr=wBSK;y6fPx8)~8GJAC zcohUqb_Bkt;9;`+^6)6e{Z|OouVf3Kg5XiViY%U*CZV0_xXdFhN57 z>I1FcfB3Ng>v%3e2W zjwEbl(8^a~ZlC(KZ;_@If6VetGI=po4MXqpPSCw^kznBDGkJxpOP!1W@Grm= z+@a_G{)PT=;)uz!vvaF|Dr!-W{{z{wd=XzZKdDucQcqFGxkUlm<^DJ|@T4|WcKpUa z|E){Wy@EZ(MK$&o5nqT3ay01JsPTeQ)s4rOGS$@xk=0N)Y`ns;hX3&-cdxuBGQ0Tz zkoK6_YILYOHi3!grK;reiQXR??&w6j*{O8)O`1>PMzu3>4--|{F`E!}w@11lBW4-P zO@}foZ>b4%J+j}RTb8R~bY4~^&TMCGxHQvKk?SVUHF3&KfO~*ra4o@ z!*@HLTl#Zqtn{Z^!6vaFr+UE^ah$QEV*rOWkje(5S{Tl4%Hl6cp5IxAavQ5}glWeU zTV`})>?1msj-OljxPyF8sQ3k)ykqhly0Sj~;o!m@qTBj&(Tf5q>4(VqKR1hdFx`CXSh9u}Usn zCw~9&8Ulnf$+ZMILNWZd&gdbi=ptMFdu=*hF#OB3#(jIjlm0?=pFWHaEt-t#U`=Jo zzEoHQ_7-MN0EiXW@6(J8&+e_$3`GTBU(0D9?Sx# z*<-0ZMB^2m7&l^x)zGyRHu_S3qxj=@m17F<)k>v9fLPN0RJ6w&b&6zIWv;PPxa!Ea znPo9Po!K4DbXtpvx==Ih=Lg`ip^e&o=)z;0Nr=aTbGsTMPeOuo(_)Xgp$q3<=Hx%6 zHhLCue)#2659v0}&=bu|+)2KpL_r`w#w*-vrb(2Gbi_;iJUo0G?$7a#?UsVKWaRnB zj4`z!KKzm@8X0=Pqhe9fZ3Bpn?a1b8MVuHD{qjv}j|aIPg?UVZ5;r^!GhSkJL>qs7 zr2we`WbVstp7m26?j029Lv-C@$w2@;bLy7HV%m%?bW%`sS+Og9urdMYIX&SPU^wl!p}F7W~k@fc{aEbbS1Id{Lpz1i()0QWV|qW4+FrG z%zf$6rBgkq8rh9xIn#(w_@RhUnlRP~BQ8&!3-nZtt<)is%Nq(%^xTcLV5rJ|OZfx{ z=N-alkE4#kZ^e>M(2EtcSYIbMUsu84aHomEe~%^YnI*YV78N&=7Nw=6ilInIn9W@Q zl^>?Jq^6Bk!?>!SdD;-?mE|v^Y!;@+Ia{~Flu4L5+Lh^F#yX&%-J$Vc3Axdc)sus~ zPy(^IfI9SU*2>EV(c~lbS?6q|%CCm*@9k;K@;}&V7>YbJDtzbUQ>BO1yi4t<<6wYG zEq2+eHZSZEM@ZmDIi!O(_(gP=35rs90>sr^ZO@{fHKhttolzq{F38J$4GWYxH>K#v zx`yWZ5;z5Mv z$+U3K*}Hplk3^n0|D4_@`W$@s-doMF%>Dgi<+h7hb1VC|cVt-sbj`MsGWHCotDa*1Y&@932lt#{l!ddgNdy#Am)hGkKAX2hU!eZ)v z3X6HuLWn??kQj+9A>ReR$abTkhhem@kz=-#b2@`*t)S>^#HOfDi?TJKyBlTTcrun1 zB4I-%3cO1+3)QJZ943++fkGW7V)&CA`B$Rjhw|}d-xX}hckNSGz)q;3d`%ojA&@AMVB))BP1$ezkKl z@+_W;VcG9|0`BjQ-vY&SC(1U9;izK;z0^>2y;4~FbtaT3G$WM&SgWKdsp4bz0lN~R z=9UfNC{3|ZRfKtV;HUhNSPnH9wX~8Ic?hwSwygf&r*T)$Td`?j%;-vBUWz1J%Jrbc zTd?oy%J1s9?(2r{r*`iw-4+HouD?t=irZ!dY#sdX^ury?n6bbgHW31zfMOvRWs;YR zdUGblkxQy=_J1;^x#dJ=idr$;oRSr(n3RbL7MKBA?>z=*5AM-FSF&=2b5@_)a z7hu9#5ECS@@xy7^;gn64ctW4iC};{!VZ%!B5W;q=Rixy*=jD^rVu8#P;uzqxjx0eA zEl~mtvo&NuS((7ENo~#1j>$P?v~gPZwuP3M0-)sUR*7TeL0L@YbuzG5HR$I<5zy4w znE}R0Y|Tn&$4ZbG(GR`DN^TILMloRuRWaz3$km9uKiT8ZCso2GJeFuD)aras7*4Uz zL>Hh?L$^plfXmj-2$ z7H*W1Iv;-}F2&JHjaw3VwdlQlyJ5sb6NI#beHq4kgewu~m?n~6_5C7JHQQXLdsX2qLUlcMOP&`*X2orZB(VLBoC=#mRa zt~U}0AjqcIP9p1ZwpoE0bcD$&b@ev8AK3uP{KF%FxaIOo0#uA+UXl4w0h-cMo}RRw zGK#!|xf}>vY6%KI?ej=V2W?aZ4@blhlY=>eb`rLtYXt&KA(-fOW<5i!*w z8AIR>VKFa#YmRct*+$M=NUCjRg4LfuS1}G^Fm?&KHvvCaRK;nOIzKDp{M?O`-@p84i50#fPRk{N>%&Apz z!$eh3tZay}XR8a}LP@mY^)QzMk704O?<9@v&IOh3!iGz_dxTfR>yqNOBaKZ_{6jg8 zVaK%C@W<->L&+BqC6*E~$`TB{B%>7lv69KtSK+6IxcoaFR^-DT{57spjX>Tep0;&F!iArg?AZZ zzhw}O$iP1EQ#@6QpU)|XX}lVB)NxyY8mowmDvceIz`p$g6_p{x7oC*HqG$J=DE2iU z_`6M(=NnfQfaj_vwlFdp313q)l28dv^Hj7NcwivT1A#RzFaBwLR#cEE$ZyO_o2SAj zl0yU5y#b37H4Ec}KKvMA@*8gi`UL`oof67qlBL`op;TKaioAgp!dKy13Pw@aP-P74 z97YKZ-zZaR3>ffC3HAO(A$A-Ln5fAhgs4e;d|C_BoPj4MiCRe2#a3IIAM25eWsEN-?#*2<2@N=5m3J|5K~BP1Fvi^1Dq}c z3c4nH)emec-M{8xg)xpdGEkVF?MIqX))?}U!yKw&1 zK61ekv5u{1YhM;6OqA6byK;pL zX|eSPh?`9zo?H)MsNvfRql9%31Ov!n2=DhGC=Tv2#}Z3T=NJ|CU>Lfax#!BzmT^+Z zY~$n~0~?*kn7zUmx!{2FIj3Rmi?BAUu$H$`E^5GLYvZtt#rEH-_4^@=F}P*zNa2L^ ze3s(mgc2G@65 zP}w9)kVw$s<};@0I-izynTG>$;dF4)pz4G#>wuDNoNW-I+9ls2z7w`(C}1H&A4H~$ zq`4$N?@tw%syBm1XGbu8VT+P4V18Fi88VIGg!7)yVNTwvDoWCd7GS>U3{z|cm=Dy_ z@>P4MB0#jDhBz`a~G=F@s{s-$97!8UyX6V7HptkLIH6E235 zrpSP%WIaYE`z{$*(ch=TvB_5k2h6*b`%*CEH%PAqq)O z_BngrGxB)9y&Neg3Q-#^Don)N#9=ZdD3&I(8d2?bd9#Q%j!PLXupfEd&AX!WvP2I(E61; zRtH{8JUF2C`MmOU&3UVNtJkE@>!bIXPM>I~O`Bua^MMCp+klcK*Q?U1R8ADSY1y|^ zD@vXxMavtdc=$^cvQL^KA=SYU^HP?iVj(uy5Je}@zSihFxnd<;wg`7zA~iqB%w@Qz zo^pZcW`tr9cGnnH(J0i{)&ol1PXW0T%lBL&(zJPgPr0Pj%TnAbT=rtiQVk*255}}0 zhFdO?kwCkHrTJS7t0nXL;uDIgicu4Ag$()lMR0``@bRT{JI2mz{9_(J_s>srF2a3~ zK6ElInlV@qeqZhUt<-uFKt!Lyz^zWn7H5f+kTKEEHJ`r6lZE@Dq!&1QDdc@ohhSRjyK_{>=6qfUq5EyGns(&k`LgTLQaLWYxL(1DeAIml??1PEU9aOHfCtKtYkvDw5eM}e~fv-=sx&wyFdUFjL$Itb-P6b>yj6W_3>abi%Sff62m zD2}*XR6@X9*Sti=1s#5zJ)XGTILVI;{v1Dm-ya9~yhfUY`MOcDzvDp;N?u|PAUG9` z!hGF@WEU1wR`#q?e{vp|X6lm}IIXB4!o9bZ|5#;JGGO7nK5j~n(OZ>Vy7qZI_hseF zL3P!a>@7}u#l|=-Hbms>hdY8%DGxiDgAeY{+;DVd2M z_k|Y^_J<9_5ju$?hw7mon73v)`eDd0$^|{6A>8+gc=QHcCCxZ#cM_)h3z#4x9?}=J znI`SlVjb>~=%<$FD0@BmLQF$Hb#E(7ebE}%n5h!XGUr`w1+>>DRlc*$@K~Kwd$M9U zx+GEj$b4`ElXL*tcI(g`C^^)6d++(!0oR@}AIP5-Jj`4y=EnS5$3&L>583)dhK zUFaG;%B5SJ=x#xSXW3N^eK#DH^zbXff9EktejUXk%lvt=?>!2~qq2%}MWhUP`;h(>0I&$P~a`h`Q-jRx_2T-$UgC&Q9Nq>eNN#kf)$ z{j8i9>0$}yT=#42Ah=dNn@NzJVj5l6hWutJGsokBTeuz}MMvs1wjyDfvSktY?%m1s z2K?{|NQ1igSxB(~yxHj;c(a<(lG?03eJq`s4brqZHy<$FkQLTM^`u~CGF<>CgDx9g zwRuYRjv-v5%A=-A{76kTbdI4%xR6TS&wV~NXeuw`q@DDsU+L!~C3tl|EB|DFq+F~O zkiDzOey`G7?2%j?H+^$)O`9+Gfo@&; z+LAX_I9HikmGnr4bc5PwOFE1D)ZI;M+iF1A5q)|!IN&(=USy|xTsb}otCRcL-%nu6 z_=2_i+^k*Hg|E;0)v_;G^VV$I>ojL>v-`SjGnNG<(Xwi(C_WH*cv+ zZMZr-EO+&e`7+s}C%;0m%-NY-wN=cXa=eeHP(C_QR5ieB*+gkXVnl9WNx>r{>DgYJ zee*OHJI8dj2FQpx{+!=@F6+-1Brc9EqEx;>9)sB1!i-} zKMcL)>3f5%TLoRkLFKP;7KYU$@8z$BAgOI6A(zEpSC_W;4;TH8-m(Hh9V6a_-Tj9C z(#cm4sz+7}j~6SB-cfzAWNAJr98x35u!3?My2vbi`5sDwGT%YN`o(uDAE+a9%u>dV z;}a*FW(>+j;ZC$sqjF@Y*!4b0u+KR1siuFEO9<&uMcWcs*E{{b&I(LY1%F0w3Cfm# zQMKa+WK!yZZB*i6n(>kYsA4f#jhOw5v+X#P0GiV&ZKKW1XDK?r&Z!@ppJM>g8JbK^0 zYSX#noWU;dS*{I4zNi~wW}u4dLt$4;LoVOkI)6OpVtcngL{BjhsV9!9_&^DsfHsX1q@A#TN-LLj{>eD@ zsVaLPLM@8ISVj`Xc_~phReFXu>Dz8Vp?QA@&1alukyyQc5RLg&Ty_kBVxkP+Nk#cW z0EXsOdcsk)Lra?qWw(K)#%FoUpv*q^>8t`H?I^6Hz={=ph0Mx*YEuzQdI683eUnMV z6pyvQ&ZI4DrM7?}6N5~eFCyQ6HcX|s!wpt6;0uJCYUm_1@Y*(Jd)#HHaw7WSiOgf- z5M)$s{cpoG>*%@fw$0`Owi9=7cqVMXylITGhy^|9C znq9h%_3)`oe)7FG+0cxdJJ!DEPGOkDRS~sRE6rsqFnpK9C@L+Tyc+svG*?Cei~tFs z3el(DEGy%x!xPq^O58`;CM(13A+S21LCDC`p?hi?e8|f8&)6Orfg;|&-y=_ZfdB3N zn}=@J5q%fT1==(5XjTY)r1Jz`Ha5j?6%|BQ?6mTAg-dzT@Let`f;g7Y`9GHkBT8kV z;VyVdfDoQ$!q`WKJekfJzQiW$QQ>H$?=TUK@$%C!ry>v`RGxq%5P_nc&Y(MZGo{p$ zNV{(0!kDafLd~6W|7<#aY|0ELaFaT zSL(VV;qoHs8(7ldQaQ~p6Wjg%4oXZ04%N{#(hc`OM(WU3P7#e&1-S;0AaKpO2)w5r z<$l;v|4&7`edysI?40I3;!=b`c5~6z(2LY#_TkM*x<7zQz(Qi{OuXjZ#GC|50cK-K z;)8fycv&T2Dlu)jG8)$g2j>Py+lE=DO$T(D8JL&Ig^C;AT8z zdL(6F4mU44VI6|>8oirFU#a7Gd-h2$V)O%}uB2kt%*IT^)H;Sys?`X>YdPVtNob`T zcsC@vTxJ-9p}6S3JzCS>m5ulLrKdI1b^o|-SNkIh-R+m@mQm)4DaDDefTB{sS4rWa z(5)2k19zB@Ig@IRG!Isq;N3fTwtoiG1Sv10fMS0SPINs5ENc_6VW&~Y_y7j6PBk@t z*|xLZg0-Vrk4?l!dYL54K3SCjJUQ=BrM3ajLX%F8N$*jmskl^+XQio_RFh#&upuBT z0XHz=WvPFT?Kt<(ASAwIh0EWOyD6@-=f79g;ogr*dwGR-)^nHiem%ZA-`lkIs2BxL z3k8IIq?PcOwY1INo>S)i(BcNF%Jt10JVOzk+Oe2%DR5rPzBzet3_v?7n!T?g2+SJf zI;Z6`|GqDk_C9yt{`a?^XfANINGr!MGWpKk23}7LYC{6^WvmrukKv!oEjd;{NJ=&& zM;``$b=h`4F8OwN{n9d4tnfV&N7A&%S)2)>r{Eqk#&`x9INtYKygJJ-KMApE5rL8^Slj$fl&nJZrO@UXZ_#wFim|v`0oDzeL#Z0N)Q*$N)i8~#tGtbU5LoqT@DQqS-Z>4Nd(*Fa(;+l$=ELc zW4O=?-7ZqvhX|I8jd$}5_}R_&srP*K`m}F$x7&6Z_H?#eA|lcN^rG4Sax@?~V$rnRei;#ET2cP> zv6Y)-UvGC$x0{=h?Agc|Tj@DrA!BhyePo%1{(Q+o|IYivs(=zlegPtt5!jBt6fka2zTMv1wIs&BJntSI&(D@;`o?c8mm(=!bG+THw~x=; z%Z0xO?&WRA;oa6X^kyWNPv+p~#n{7~gtCnsBYG^}CF1#_XCc;Y6O6-6j$j;zas=Z5 zl_MBOt{lNQq~!=%aYs2qog-8kf^|NR3AEp|3AqMVxpvUU7d9a$F5Mkq6Xb3;65>f- z!s$c1=Iz_%`SFfE2bVG2^M*b;Q<%X=pTs3Y>$Kmj9zWf#W!Im&ug8vZmERJ=S2J1R zma8i$O+5m*3+@-e?%M* zIiB5N0z~9=;|>p~%H$mC4+|)$))1DS=1M#h&d~l0fPz%%EIUF_y#L)(grNA$`SoY? z-CFV^p|VqCSSI3fhnkpH2A5&8q|-BaPM)0o8^d&Jl|hZQWF$PtU+J;MYeVRBv`k#U zc;f*i1}{6oeaxHAVdqFHh#pBQ^d3p^!#_oeABZWE%Ctt3O2kA`p$%uMKjBIZ|F56WOk}cl*0TyP`Q9-F3u?tu~Yoxisg^ z^O5+dHk9V_BvpZy*X}egJB_d$Dc_UUl)A|G)1*Mkci|-8g~_)%ij?m{I%}RI<<&4T zmJ~A!Q)%WFQ|agyQ)%lKQ|av%Q)%!P8yncjz-o=njXs+h*wkpp)M&@lXvfrO$JA)Y z)My9Y0)W`ukY!=iyD;iq81*iUdKX5$3qyYkqt6#Z@lE}j(gx@CQ~wqILBB9J6gf8< zG&dSFHySiI8Z*RBeZD;obLt$^IoQw70`?!1|Rd;}b! zu^}y8RTdZ-J)btmp}|P|Km&F6Oes`;-X2i-DSSZVNhOxl#iSHu2~XRqbSdEuJB#(6 z58WaJ%Sjys_Nz%i?l>1GQ>zwB75`vq#{Akv}q4L z-@v{%Re%-fbq~F-Q{<{e((!f?cCM{!U9R6WvbilS+?sMQ-Lk(o<$xF4kU`sndt{=u ziJIP&{FAOs-pAv?x%3)71A>sTZ5&ypO)YR@fny6a%d9O>?yNj0-B|6jZ>iuuT%1Ba zIe`#3JD=u|kaCpF`T#saAgVS8hK$h7nMWOz=#Q?X zkoWBpQqpOLAeJBvnKb73i#!zyD1^AivL7O1;uKJHh2M`Pd{V%jato zck5sS#^dHu4C*JQr%2%&b#A^F4LdV>Wm)lZ;!1x9?$nDg|w6B@P>dR z9mK1`Q=^|+jaK}0T5cRMeH@B%hBpg-*sbm!RmtDHhNXwi?$IO9iAY=Us6%%56kkt( z<2~mIBnJa~uHkPmfi|BM=8fZ5?eHn@py;JOzqz4Rb<&|VLyx1?wE+?d= zT|4w>zfX6VD;V@2yudW^?oTbFI4Ljzr8P~Sj-1{;u|QCB^* zN^Mb#w>HsHtSnws&BdYwwGA2#NXkq>gL7iZU$Fuly5ry4pu4Q^&zI(=ozWO={p$UB zJ%r~9o@;pK<+iNLY^kZg%G7nmyjZ}@EWqr-ij`%c7Vp^P9hcu-hZV(QBb zF!5z6Sh@MLHB)aRZ`BkWVT2t~b-zB)$(TDjAyd1VCU6h$j|FBkI$@tUZo+)8mXV%Ecq)CfdfpI0 zl`>MuSRoTx(=e@RSfGJ~f(HF7Xq+L;(6n@%N&!$H8uk-1s;;Xpm435q0UYi9k4!CnV~ojgChnsvR3OEje=~RXH=3_$qh;35Nlc zpxppUu+BS#Nd&Y}sdiPWH!9VxO0}y}?Fz#X+ZAxXQ%*!vCGxZ&Saye}v>OY{5}X=o zyPYNRNf(>M2SaR9w{p^?ap$8wDU1v6pu#YsuLEVv$a2!IY7y!fsV1J0sW|Tij?N2+ z$LPT5F>zr0*iF4%ksNY#46>6tJMpH|jxEXAnW-vMSptq!Hz&#Vs*y_bkG4%1sTGgZ z)c-pp7iLo3?>BT5myZ6W{X>;L=52gBp5n}!jwd-GB^VAd)o*^4N&S{iO2atuf%r6i zAr#jG-iG$K^ws>D;*38yE^%l3TV99uj@OuZ>8QizsPsEs2N>0?*^>iLP|UCC2Qwc z)X_*Pc;a18sA9yy1o3RQV&_}oRHa(MgEtmabDTgtS9BsG_ik)=a6y*3NCr_VG=}51 zxmv2X@98+4GgF&N?K9q{YK4sa3xQGN^g3xVC8jFpsBG6c9O6u#QW^ygEe(4K(ki&n zXeu0(3X5INQl(F_4$%(me-5Tl3Wd91@T~S<*SOB%Wo5gFGWv zZoB9y)uGc8S!BDYLktm!?Xz_sDFgxm917hOFA^XhKASB z@ERIkL&It?_AwiK@(hX}gZYg?5o1u)80s5?;>RH07~~&A`^MaUXHAcm2jpgBkJihT zr;mclRi}@Fnm7gbD5&5QpkD5@PRL{?YM+uhi+&$fHg6=~M62^I%~N5dkD;t_;&Pcg zeM;s-R%2Q#cX{$8Rg4DXT{$_{kUFMg&+dIc(RiCcDnx5Z5IHx@gasBc>Qh#|I)Aq1_LCzLIZJd?5|GRPO2zWKKcux zbtsC@Kgd@&6l}$edjj`l2vE9;AY)u}XvK6@+?U36F5bs3Mvy{8A(&Xew?=GJb|MG6 zB$Px&0%YWJVmdLjAq~|aw~9woE+`YO(t)ke^8?Sv zwh{pu3$AQ9Q^?4MJFAj#HxV(M#+YopOCbQxKGVQQ=@OdPGfw5q7Gg^Xbz!gwl>$r1 zJ4)BG9HOy;4OTcuMmu<)Y}AVJ85c}4s_5bw&hA;lZZLP%Bq5G2;u0S*s$$1(V&o_C zs?E+&2(34n@)_y8?u5-!QXGUFU>}BCZ`}Ih)*Jc#X2wk~F4B{1S8#ue`%B!9;{Fx) zySP8@*_63pQt}M@dly5A&n}#k+gToM3nt+T6#?KNi*@wW`9&!qwuC~5vev>O8ayML#vB7p z9is84aJ@rKu}o0RI(S;?gQQNd&Q2jJ$p_NB8K_gC$O!?YcuvhOpb*v+?ePQB3I>`{ zLmhog<5%uLon3QIA{+_vpev4$E(9v8Ob)PxHt7H!9+M1_Ej%U}@LXKi*7i`!r-G5XE$*P7JfbWfdG*vilFxd;>1rvy5hg92xRa`mb61Dxc&G}{eQHPf^uIt{JPe|@C!H$zc@4GPm2cGX_CtJLKo2t!`D9U7|*PN+34hk zGIl()J!ExcLft5OT!?n^DW*8%CJ;=eoxg&L9eOHf21=QZd=AgZ%|TmeMCCfB5LX|| zzUjjEK`&u;i08cn^x;ppg0nSuu6A>ni1Q=V zEdbN(0hZp4iNeX%&PDuK&a(RW0R_BxPn|y6@qad0uWCi0&9*E5M?TAH6&cQ>8e(7? z!hOJ>gq`u!AVoK~Umw!ZOhXJpW|Qe+qeI-@w64>jY@R4cRt#3^;Wg;t@`Hs-!6}%cAAMRhg@kXEV_k z%Tu3djCj%+6N)TlQZJX)4KJx&DBGPNO`b8iiDyAE8H#5|*_8baI&Pmwarv7OU5M;N zl+z+Vby}sThou6C8vH3v1!AFg*3XRW|A?tTRnuRgte>4*Gkfr-ChHfoX)&{voO?BL zVH!*LtlV09@mMxv*PDHenLl@$hyTQUp^dXfI)4(gkrpcM`@s$-@A~00e6G~L!YMrs z8a9{9^L2%O&^%w*>UtBRWJXVl3*w*I?BD+f$v(eh_SNYtaXd z1`jx=pc$V-GV*-zqB=xRooeL*KUhM~2h<^zfG?F&ehCBtNXAqEGN2HE#Dt!Ac!5aX zf^$>|e!$G1)M>nOL8Z{D2d4R~455`#a}{^ytfJ(95$Ql#u^X#UXiNn@EX9YzGn0q= z@TtE{iqFTqWnW`j>?e@ogCtvD*4xbH#VlYzRIbf@51Xs9faKHuI?tyNNS)piD#04BiT%02wmemVT7?zmu(bt*$$oV~Gz&}#%E}Oy{DsG%Zg9AzD z(9R?MoKz5`s=CBCF@xP)hy%b)N6T=lPOgo4d-z zsM}2LS&1={g_k0kT-OGZcV=49k7hr8bKC`L?~GH48QVvCj&0{BQ58pFtwx0GUT+dE zcAAklOddI%YG~v~&kZK`*bo(-x=M4ozW8Y63AbBTwpu6y0HGukY?=o zeE+z4yT#@=46JXNVR+*r`~HRT&C}KSP3EFM?ze9fI=y-N=)An$x)-*G&Ha<@a8r`ImqGum8_$AA99npTBzTyMOZj@SETN&hVRW{r>Eo>t7%ImrtbN=J)G&u7`vF zD8RRVzk28TD}(i!8Zq#LI(!^zxUlekLJ*`+I-<-4|`W^z!Ei|1kSJ>+9CH}%-`r6eesVI8- z+~9Y^Q(NX6gWm~HZ5gi*z7?KYab6qz_Ul)#zWcrRU;N+)fAanJuYYIowLook{Hehr zJhjb!buj<@)n__hp69N=HTavKx_apcFMjyq{p;Twe6^LSmClhqG5Edft1Bis;CBaq zqm#Ln>x+X)_t=W^bAwU$*vk2(!MJ^VH;6JB{Brl$zWdF=uXT^DXw$(j4X!>*Pk;2{ zkM6(y-S4)w{*e0l*9O075ZVHMVNi7iv;+FL2Vd_VTLu2s;Ma}UTEdqHwLxg5|N7vY z2B9taHwM4amD~z6AN-=h*S7bogJH+lmNy#wiow@5^;ZVJ75bzt?vsO=!Pe^Qmj>VH zifc=+2EY39EC1&IvOD`q`vw!jV7Y(ydp~@?H7;s1>pzS^M|gcO`r_3a`h`yoepi+> z_Jp4w{IVp>JmD7xUzdc+6TUR~HBrUH<1Y{9U%UEwXr5&G!r-^WtF^~p9ehhRC)D-Y zU?N@!&3t9>+qt?z!#+RwhLk@D@#VoUeeUYDVEGRF#Ng%&SFcC&cj#9KU(MALwDiTn zZ%E05!aqHj=H3mBdt*@LSb}0cI~acH>T_}!JIc-AYeF-j(5u1k2z=`Cm)Q6cb%ZK@ zcJQm>g`mGr4i>Uy;f2o(#yPP=Wk16sIjJlVzQQ9aA%qV7xxugG3Jolu8+cmLoI-@mDc z?V@D)-g`Iv_`@6O`XBu1i|>Bp%OCs5M?UhYt50s zpSyaUy1}1yxPN@uT)zz1WxK!r^rx?0*&V3AwukGFe&Onk9sS7dZTi|L6-qC@_UhG3 zJFe=L_OB!V^{K0?mydT%TiW%Z$TR}q$uRq$B&Z4!X-SsQ4UwtZR z*Y(F zcK`qf0001OVQFqIcy6q>WmH_hZ_-?k>Rut^C7BH2ft@5 ze%SIulcPlKfsLs7Gt@m-TW(VmdE37>t0Ng+y8Xq*wng16y*#}<4=1uatUU8O{?vV0 zpU&-2*Ec-qOD+L!oexdfw{lN-+~0fN6A3qgY%ztgDMbQ7jlUw~Kij0*w~sUvhUTYc z)*nwC3O60}N*34iFAG)&8ZneU@RB!9ON7P}|7uRw*7j1G4;8pa1(gZaU-^9ET*4k$xfVB@v&rO}D6Qq@tKuTq7T zk1hJaw!_Fh_hD(|-Sp0LdZ6SZ1IvpFv?DK&{iyKgd+Vy+TOV&ml48KC!)S83zq zxt6Pjt6d>}F-d*0QE3yHd%+^R{_V_4;}_GWNJ(Gd)O!JoL(h|ibNhprh7{8?cVC&8 zh3r(XTrQ`niDvQgz&7{6yvq5H*MvXb5i+M) zF>6(*bZB?l?`;Tjmz&3?&rM8e@z6hLLF@BeTn`LV-E0c$cxt|;u$7TP3M)_OAHCk> zI`a9jtc(HCd7|Q4${NDzlK>~^9r7pim&x0)&_jsRSYXq0+x33;xJ}>@llt6N!U<3B z?IoMOi%F-;@Yg@bhUH|f+oo%A+3NFmf1Zhc3kHf8Ru@jMPcI*Dm-Gk5KDTK+3a8(W zD&-2>nXJx9KXK^uHn=W6{~^NEm{O46v!lbfT~hk7q=atAaA3=DV8=jc%Rp$ykZ#M6 zK2K|@bV0S^D=)6#>NmR=9oxA0wLwqA=d>0;L?zI0UZjce9Nx;I<2f^@nU{BPBZHLQ zgk>xcxacp2rAy7-?tV~3h{C4B+iuSk>z6w0+WCEPH+V}AO;_H!A}!v@`7tCIA%nHB z&l!a7mVH~nta^|?de^wgqOa{S zeRQM>s;Q@!lZb7{VtMyV>3mvc9-DZ76xOOj?c=Yl?9#;bOP*Ir52lwG19eKCP2;a4 zd4Ev-pGKX`qZ=%#S`}&#e|=<|Cazub+yP5+dCa9})6ufg^K1K9uUfrGrlV&QWLJ79 z;Bg08l@l^CvbY#JSBVCxM0XV9-AKJ9ijZf;O4q5%<S%bCcS%a;$io!1O5WDE`IG zzwqTSqEoq&a1v$O*nftmm5$YtP--~uv(fh=9S!#*%)9R50{(@Y2;VK0X|huvDN(17 zM-E8}S%HJi#*Yk}9}Z;;>MR(~7~Rv{(!4aBHQdd`JOXs4YM45dd*lmhsj=NUqf_t;(W4Vu zBrXzpJkjG`EV-50Xu7&r|M%{yEIR$SXjiPIE1L4(tH&5bMedno6Il3p{he(}OATFS zt~C+PKiIxD5PYvlkm!MrT=TYS&#WqZgN8rlx#;cB`Rpp?43wxnyk7H;JJP?mqv>SY z4@JuqwZ>#*dNQYx#w$zKe+_Yd8CT<$ojsLdbhdOU4tx8bR&%o3&&ogTr8@NN-?+%? z2^#wje@%~_u&ZC?S#;(3F7*}3$f=XVDe-nayg`VlSVteQk1*@<+y{ z9|LHnwP6OeVUo3BpKHU^YQtP>!=ib+<9Ig{SM|_}ZOYFf##oKsDnp?uEBe|GHJ=lU*Si(NU*byjUt7&7riD+6b zQ+4k)jw4T}*`j}XuC_33(Pkd^OIJubz&9U8)MeV;Xy!$hUbR)#Vf&#Od%gHovZX?Y zjd>9#eDSM6ON9y>bGiN}@wY8olu5GhKHIG+FrW_7nX=1eDdQ2Pgq0#HWE%X`1lp%? ze!@FU345e7rIyqA=d=_MNUmlVyP&cRvypUX8691 zYVfPnEOh5JvL2{54XAuqds?HZl@n55YzNz{(O`c10NAoCtD3CDJ4zXTGdcxpHGa8iJh<}FRr=bku7%9>>#IaRxIUVNo4r3={sMY7|M*2Nc@9_ zMRFOuL2Hsh9oyzO)Bj0ke;$6dn2`RN1;EFGJ?y3m1c*C6iMeV-krUF&mX4_kx)LyT zUd*kVaB+EN#ZyMi4jSBYQi-yDz>b|ARJ&~;7tMPuh*46C{(q6GZf}3re{Z7W(~`w2 zgpij=PDmp=Jf_Ozs*ymh`0Xv)T8i8rR;{i9ygWSxs!mL>+FTa3?e$Y<5>-*mKUM4zZ`**>+m{5Aj6z>CRLXm2q9 z*4l&*+B7k%_UdU=5D`{Om)fd!=xKD6TXJ#xm6CA&AI0Zc(*sv@?ZaR-BUb+Rx*aS2 z9*pl=o)JH+{%|GUBgV^$or>d%{fhGn*8$V#Zx%*1{1+TL0VBDRg?$AD?{8mZ@G(H25kslq$oc+6{ga4CdZmywc(xB-cpQT>Vn|#b-}6BC&WATDPx6{d zsQE2=EL`W0f2$Fb0W^};l`SsGE9ou?p%qp5=L#uwR8Y~zbsB%(%JRQ$z!Ck19JSS| z!w}JUVyVy*^9J+3ozvsG>hgcx2Z%^L?4oj^g0jpk0?b0pg3Q7>S(Lbs_4<( zfwro3dTI4UgjLeRwyI2Ggu1Yw*Uqeklj26rwyX6chIPsnP*ftuIL^&cjPpdn=hJdgjA!ELZ zsGIfXCy8!}z@;xstEB~wyxVZMkTKqRUL3aU&hIa@l4sxK(`t$SdB`#vvoUML#>h0w zoa;*eOwG%o;Nm6c;^jBD4H#PhCRIvptB!wL;t;XcJP60s5`Rh#UBnLDH@Taq64dzv zk;T#ye}TC;Okggu_t|U*6~{mDaa+%F5OKe0vkQ68&IPBN`!ug0hxc-cj_pcBq3qv9 zXN}h4p0)FSCU29m-&=~Z*&zKMr%xNbX?mL^`*es9q8uIg%+)HB4trwM7Qsn_???EJhI0bhU4Cqg{tfD|?t6Lc(R%1(vzF$nSGciXN zsUPeM`D{~vs=E`7hTquJQs&&$f(JXQ4n4F-J+yE=v<67=s>k5Tgt=oT1BVW;H~3kz zE;&B7X?&McH&20JeTh>pPmw5c{IlL;OdslbJO!+Yal9YfG7_Fjoa13v%8E!XF%U}K zXtYcrTl(bMl_Te<#XVkYTlNDdHuih%g^nvDv3GLam6}{@2IG+b;hzw?nw0%2x<_WY zVtKWil(Z_k1LpCe_MzS*TvfEkZ)WnV%yK$!$2H}yHB%(hzv;YX(v+r1{5*q~3S5(GP@}IE$I+aEkL+*$%6iY#|Lskyc6QY%t$IwdAB9Qa55)jq_w+0y zjTTovx!3e)ZgN2Xl!hcaR(doAIiPDwl4V^fev3Fyfs zpZ6;W1es+M=v`mZ7CrQJ_xkL4I0Ut*P?~dT?UOJn%YLDeFVji|!vAjK`?Hz_B{<#h zLv!d#8hPFKRU&X{E1swGV<_}?+y$a_%iifx#*$jM`#%M!|vDPTU_EW z2Hc}CegtxK4XA-Ip3bLV#=#X33sFddFE6Sg6Lrvk@q0HZfx_@JWTqsZ%&1k*d zmsK9yh>Sj6i-sH5i(E}?mBj$h)-$~sK$kd|)Ej7;2VL%$e%ZEiM^4wJzBv5o^>CW+ zf~vepPCgMAyjpZstjM^D_9FfjV!MjDc+D)N+y@q;+g9{utEdA+c@h2!uIftiCI+<> zlXB2(=|WtEUig4yQg`~2-6ddp6~aus%QxLj8b2+D)&UQagoa8Y3Ic$|yRISbaH)z; zmZ&y-Bf0KcF!L@65C!+?`Wc(Pj<`4FmqpdFf8ze&hX7QUP;l{3sx$*i;W&|oax31{ zx^jdV|1^*A%i$v@)`v2$s|07#qA%`n}>;GBS ziiYj>#NyOCB9I3wGVO}Q;z%2S^sSj@@slN_8iW8l>E8p(%!_Y|#OJWp4%lZ3^AjDb z46f9bSs0dMw$amcPA-14}->xPtiQtiId4mJ0|5Uy3^DY|$7o zZ%7F7qrC+0D}-&+8?isLU)6`pra~=%ml6ko+w<7w9&>`m5Cmni6>QN9f|2AYX&{x) zn$Dlu`NS(TW#LR^5eH|nn`VUH8}nGhC2s6^P~d#TZv|ztx_S|Zjo$laIC2@E2V!Vy zIp$%ZsDu5>u1)BRwz6>SVH%%&;7#+@V^=xFfOwIV&6__GpuiOW_w8(@@kf0hp%kD% z{Apq+_LUfL8;1j@uB00fu0HgH{>0?)`YieZ-;qx2eS0+IJ;+_2Y%3#;Z)#9|4OH;K z<5C-V!E{k0i(||rk^(_DwY}iVL{Fsd9eVw0h&VT)03r$Ejhg1pr@iVZlcEzFb&ndd z%ALxDu+CHC71{`W*ya8-D(l~Qavr73O+kJYe@I3rw)mQDs2sIk*1z$cMwAmLGTPPM z$jY1Esn@TEmUE_(A*8TeV+SFL-D>9N9(DbS;ID&;{hnO)0(6g3BZt&bNeHsd7;e7GJq?&D*9Ss@&c5AnE=s2d?0coQ`++Ci_WN<~i?VEUJ*7g5(NNMoSwo>ux^8k?qG2unL>hXC=fz zH0P6X`z(dHc9+i<`1}@NZl}L)MVR|#>#vnP6$^2g_rdKm6XMwQQaqA=E;#9q+UB1W zxH2odp@H_h8x2wAV8udA=S^|9t%O*2N8_m_p2NTeL(1rv($8t&ts!LqHjcR2xz4Ut zyhHXJy#>{7eO&FwXMQs(yqC?Dup#W%>sgTcktqgfBnb!3%j(MgP$6k<9)#P>58qEs zJQJr4mE&!|%u3AA9gVTl2J5rot`LZDsPMIL5+uM31@C2kC3wgfo$AvwgV_^q`GH6z zP9W{IRP@W+=P$d+vyX7=_ds#F`|!Xa&t7n1bR^nKn7~{A*H_D~Q-jgs#89TI>F_`q z|8HR2Az4%^G{yPpVjH0t2py;-TA2Wflm$2Xe%YUur)jiwp;>@3XTwAIZ=G=zPWm+IO^QtlwM)Vd+~B_Dk9! z18Q+un=Kn=NCzbC-~qKHtj+WdGdcf?zziN8Ya5t7AgK@wbC)&8}n`;SbVdrZWbHB<#EfVgX zb}TL@;mg#<_f)c5nct4~YWJy)0YtDMtd3LCE*wsC}ae zztV(kWc^NGQJqxIw+yO}UOOx2hiwAATqsg+?8kd?LCYTlYrtef`sjUs-=3E~tQ^lM zyS1=)Mgh$;yD)e7wiQDy80B1t2%6*_0hS#K22({Z<3O{#JwVD)+W62k?{2UaZ0AD! zdbVezD`cQCo)hacp$p?PuZs~xc}N;0j-MI+BDulvti3A-45Zysfm{v=f&y`@!e8VJ zaG=C4a**vI{O}j4?rbpsP;Tz;2_+}f#Qcdv{XIE!)581};NAH#5#`8~GYGlB8i&3w zuuM1W5P?e(I5`v#wDA-Gv^CJ`wWs&=0v*nK2q=~E@XDq+)ET-(ulUur6N-I%qsP|e zUc_lG-$2`(0Z%hwi3gsG2AO~CX&8*9EE702&nE0mZKt?|bKGD(#-~C9OFz?VfO%_@ zTSC!U0uH6z2DM0xK&l$8KO44ijOQ^Um&cl_`+|+^J3T+jYc0fESzpSWe#RN9zQ{NV z6PE6QGn-$U~e6KXxI(KE^y_6B`FhZ0lDUVklTJCK<{ zu*YDVi7042CVQ{YE!LcNCc)Ce-mG?pI$y!v)WI45i90pS=3CzCr!1NFY%%W}ALVUN ztSwJeCt-k77xC788Mw9bX#pj#j*=q&yX#FxMK$J#;H7|~IN|Yhf1&kx3zf~b%?4os z)>+?PWv*>+d0qV%5f4FD@WN!njC$K@h|mRy*yogTWqF?Z5L(cQjx5?jfCXMa7r^m_ zdi2w@PpL1@;wc+SlzX2>P@|Mwka)*g`$h~Gbf#tao~r2`Rz8_`l-K27`kwOXgH9T} zOUtE>Gz+lmv9A~YxHXis7hd|D8VL=lXD${Obk_7wGGQ0HG!7WKINGok*lgGHW&wy-Mv;e{5I-)8X`2M-Zpbp(3u}_QrUHdsBEat;CosnGOq4*I9|}Xp>(sr z<}P$~F@m&PS$+LU*)ZM8=QQBSG{3VbzyO84RLG{7+T=BCKpENM87Nw^fWX5 zY-^1{mEj(xl?~Ul{Z6-pDP<6ry-yvxm((-Y?+RS53PAZn8?ASva+IfF zE^VLFj2AMUI|UJs;TOX!k<0A)A}0Z(_Sim@WAUkAvAs;7oiiC?$!qR6dii}0uRHRP zN>aGPmk%!uvgot9tWZ-Q#N9eMJ!rG3Q0LDj4;f~#4IOLLckN6y8g(Et0lftY@>m2= z!ZutWb=xwKANNHrt21pP8Q6E|shicAKY#{U6o~r6vD^-$H>|I$pXzHPV)M2>D_4E(m1oJ zvkL*+Qx2Uzi5tY|+v8v8;!-B$87N6MX+Ke}Pi$E($oc1kwM4qmORA>BE)SjbA(X!u z!Y&Vb6(M5K!|=3v)5Chpp~){DB)V?KpJkQ`vRBHJd0{6h(Ur=k8)ZWlU3}_3 zhQz{+>diCVgC^`#uFq*o?g1hUs+9}CiyOk`o(^`P0F`&-^OiWZ5{4BXh-pFuf60ay z9zn-NP(0^RA5x#;L6t+1Ib1jP^_-Xy+>xmBZv<~dO-cK$u!!hKB@X>T4n7g2GPh4z zM}yTAA`~4H#0A4mTLlT9k^7ZIU&%1Gg-i;e@|{6Vntq}1o%NbDvBT$jP?>y zj?fN#>E_hjo}h{+4spOTB?X%+;cO*G;v9DE5t5cc@wd%SMXv5FrKwH|txp-oIk-Ji zAb9Ew(HwlR3C-ULk4GZJQhhrr(Bbs;6(*1;=_k44xzcVSDF^zA0re-P?1}V(1YpHH zid#3@#1%c~sD3}W6P#N&?mvcXkf7s6ZLGr|{tUcO(aw@n(2rB0&*+0I&OX8X&B>pRk`M+nh z|2yMwl@iMW!gTzC0YM$}qXSO|Cx&==@$8Edx+?`Ay#TxuM_*9^{K>&r{e59>1+VTd zJ|q<)`g4J8RHo*O^Q`*a1n{SPi1zt`^ah zQeTz?d&vaOr@)I2ZZ-7@cYClEB6lENDcbEhAQXY8x|_vSFAk*LRo6())zW>e#5Be>HhsjuVBCp_F!Hm5Ji*udF%MT`VRF634>1 zWDqOJc=j#3!pWFtd0K*{Rhj#~v#Y!;R#$m5*%m`-NhK1{YgTYtzLGG&NM1DmXP6UR z{e{0e^6n+-3-*<=x)9f@A_Pn5NIF99$V#SgU%TA>wvlCG@B-e=PZ+Q*|Jw@Yc-l-$ z@ID&YST|5t+WurY#~4c-i@N>c`e%6HAYe!BG+$H&vi!|0+;mQG>p1mU9<+^ToIW@E zbC`(&^bCKvk^$HT_Mrto_LVZVe11?mu?8Kd`6g$6TZrw}fmjMnKOXi*alCsNzVR=! z9~v!dCKDUjfBOhxq9~@I^%v&Qkgt>uXaGC7Ljf2!K>8BpYe4uV?3;CimV=$=E^^;0 z%WD+yZK8?hF&p@RSIF*9b!7v5z%OKees#sfbO9fjGFSI&v<#!0eQCkesNAk@7(k@x z<4sZ9!Cv3OyL@mrdf3@b$zNJhL{;iea4VJ7^e7=OE4Cf^m@B0&bS;Q@Xx5uKr#kaq zURD^T)V;ZhxwIrdor&V2=Hm&f6-Sm`@uI=Zyl(ZZc6ErK(0nWv^7UYFM>+Qr@`L*b zya4>ZY{)ZX5*4QFuag==RDUiV?5^Mz7v#Jnof&Z~fe+P4zbvqmj8TpRDSzB3?BKt- zj4leq1CRHYzbZucCk3B?F{Tm40Pa%}TzLrBZp9);!O#9XfcHm|Nydmr-LKu*;qn!N zIS}%HN(3N*HT$)t3_FppjQ~Q+q0h)H{Cp&}6WE>}sov(OM90%wk+U3g))#GtaqsPb z7rRJ$?be1(N5OXSM520`BSJeNrQ3=+#7Jx@&A%}9VjVZhZiSJ~wu-?k4VptSvP*-7DXTq{3Ypm@S)KP^Pk{^Pb&5JV z^=k~rHpeanf4<*GoA=$DkODSq3EmWO*5*%%y!j-a`(p#!^X81nF!0t^ewnI==2Kt! zSU0Ob&Q&ZB1;3B_S#*~_+-B4D@WI5dCryob>H^pd6?8L}Hza67dx?To8S@ zq&%ab*98YL*trRsMv+lIJ$Tdi3L?1^8^nR!iwIHM`59FIsuvH!yi*-q57$cs5!yKm zc6!x|3(@Z9hkGG~@b~v2L8*3fgPq`@6e~4t@Y~4#!eEMiFZgXFe}1rN{{#FsioZA* zp&uJw9PybGOxvFbFOK{y0LJfEh8IVA<^gl}Ps59&Jd1z<{T%Rph|jM1`t6gR`=R^m zelw5vjJxlHpL!U0q4>KBr!vWN?W=EKyI!R;kpL|~(!ayAh4H;! zrAiUd+3oMtUZpFMgENh%`CcV)(Y>=<{69gX?BbBn`uTYgWz#a1?HiBNM_uFcn1UOR z-u*k26cuafH(aG}R%x3{Cc z>|~Ik<-<={m+{Wd^;;@D80mkL`y|*5n7c9o*kG=Pk&6Xz%rb}37*BVE{lOfDREuHB>wW%*xM-~=FP@OpkAlXae<%>5KRS-0?=ml3~P#iuG;~5QH-d_k8 zi1myF&g?ga3%rmGq-$|;FN>$SA`qf=b5SoLz0IwZWcvD!tx96n0sSCqgijUox2C4) z=MQEw8lf~t1ixuW*JXH*y|lw8X-M@y@G?p&o2q><^VJC54JP1Aw6-T+EK($I3W2AI~hyLcK@xnZDe zp+`a`mff8mM)<0vDJs!b($Bz4R6_9RR=Sx@VNx$dtS9@RnE~~bMv)WDKZC9E1ug4K zJ0g4CQxSKkz~5yN@}Se)(?_S6mpPJUzH+GX0<7BWa28H^lZ)0MI4!v{hR)^R!3>3g3}P?)#e=^;)mK~3aY?Yt_4S}nes}kNy6o=u z{%D&f^Be70|Mc#MM+r}ka>Mu3jxq58WTnHePl#ti*|FycT*M#-o$YZ0cdDcgM)5ap zsu4S#?C>fL$*CR3;sZi3@>TGJ^eg!6SVTlFVkA^^c2b-%1C2LH9mntAxXq@A+~rM3 zW5L32U|~^MI0hExp-$?kkbL8Y8~*-~1^~-{4Fh;!fCUU#g8>ULAW90BAMyV1D;5l( zf&r2+ARYz?;g1=7qk#d^Z`_h&VJq;#04*5cj_9!=EdrbDBKjEe(82cj2-~9zwuB&z zP=y7GU`wO}dijYB(ahO@;K3^4gi(gD!^(zH+%U=pMr|Q+5f8usc#<)LTSnMMrZAug z21vkwa2S9E1Ky&Wv+EJSN`(Q(sxaUi43L8X=`esD23Wv=wZ8xp3{Zyw6)->*29&}8 zr2})E4BOB?)sKU*)4e+2Md|1z90 zz#kL5*sl$Dvw=v$c|nTD{^nF{zNeMl+rbdL*16N-F<^HVvb=;;UkfnOC+_}#9eA!X z80rmT!m?~IU6C#DOSvPFqa7*S*1j`saHM8yA{Y1OGX1{%&8kS1GD$X)q4 zuQ?u$vEM}b%IW}vzUW46a%UI)TKCbFKIIBe(-jUy*M=$2ql3BQV_22>kG4BgtolQ903z7l3_JP3UT|B4-uye8!4I#t@V)hSdV(I1DPKXc z!2Ua5f{0&nAQ~ZdbAUbjpWrUBMNmcXy@|m0{lc#^HSeMk+*X%j8#t!ey+Z&b4%G@rDsfo2L+~fLS(Q1kiQ}+8x%D_&VKCD&*$#4PW5fU5qdlE=3!4#%{GGT)*wsb z*XVHV0)tk>FHG*SKkYjid7$^+pNSU`a(9EV`nNkzGHBF#}>L)vcBH8W&g%t>_DR9UQl>qO#M+*O3{LjGGPYPnu)tjV)`$N|U0920xGLOYQ zMre?v-6KhP-lxZaDV}oxv*X!skiGmaWaW#o#1Kqn&*y2ppPD0p+^p;6}&cRkK z?CAsa!^nOft;&Y(3qc>_Vo#j&b5GsNBUplAT^~7wYX|mG1lRi-LbC%1=6D4q1V8p4 z!4(4hvB0bSR&a%A{>b2y{&Ki&RDWhLQ$GX3_A7r;ut)zgyfK15FIY1}f9;sz1BHHk z_1U?teF~?9J?9o8Z8*tNqqV)fiwflugwWc)n)HsmAd=S+G5$iMBsjFEVFa`2ojPxh z03x=X0XAuq2JPfPyw0A`%!(1Oo?slFodJMUxMIW^%XNr!IcOxIYIeZXTW8O9Caac| zR!{&IMjI(?{{Lqr~THnDJtT4UuLBk4#m4 zM~;;J9Iqf@M!cBSFA<}&hc~mL$txt7hi_+qLE2R*!;kXzbA0T3Gq1&CkcxDT3$^um zG3l8zC;d|UE1r9e_&Wi2BD*|nQoqU(Wb%qg-YJCm9!)b^td}9uqmYpxTYI2HtIi(z z3?#w!*7f7NB>^&?!)lqafexKL)|nMq-fQyTvAk1=@kmvw`|tH@T#&6ayk?|34UQ?MQdK zOqY#9LIbJr@IM$q^>=RTPqVHy1M}E5-xUl>2Akh9=*AoFkzSOs3C`M{6D_}XTuTAe zjQlE^tv@&hRlZqTq5WsIo0^e=e>Tmt z;p8Oznvg00CkU83e>OL z9Q4^h*M|aAm+#ZoWc)0nl~}Bt4lD%!?Tq6BI5Cr)3GB5QgVj~Z4*p!)K0W0^^At4WI3mQBo$~x&-oV>N(Pz#V~dw-Z)>-Al_K+A8RpYYl;z%Q@cxFV5o@k{iVw}lc6e&fERwn@68MlT=PA@+7R1iysQ!It2 zAeB_WK!%e&g(so@XS$j9L8qd-VGJCOKfWrNX`MnbJiIHWwOV8cd#w;w_eZs^4t6#@ zEVblspuB}4ReJbs`pvJ)@@~%2MPey*%eDtucmoX|Qw;0`B2!RFY2*eUDLc42tQ3;j zLq?)qQl~C(soBr(mLs{|Qu~yEHD|>{tCkO^<%ElVIarzWlD^fa*DGu2Z(QU zy(P9<7gD#-k#hg#rA?_Rc~1z)HHJRXFyjQG)^DO}1_y;dv29p^jDl6?=489}WT1D% zgG%@NZipi{@8j+++KR%ve;)e&0Ok`FG&@IzGq7$rf?NP?y51AOS|ZAph7z_F+IyL) zs#caI`}NJO{95rV6tcCO8b?!1fWGfx?ZxlyY@xk%&#RQeMJU2gD{`)YG@1PfLU(p9 z{u*;P+)jx@8jrykP2%rlQ%5vjD8;EA6`Ku0i_(|K*|C&ZM{dbI#jNZ=uOv4v;uA8h z4;@k4u&#H=r6n^SnbLSuf%>zlM}6!)=EEUP#Ne0qk2|o2b|4fpe?l4=MUSbR@z)Uza}Y#6oYBM%J{p9%Bz1h;gJq3^NZGFijyq8IVO{eWtgIAR z)ZBH(O?4b3X5j8RcJ?(5mdFx$(@}8%tKp}_;nyrFQIFXPSYnFcf;h1uC9G!_95ZNk zgZ0cuFk~8r6v1+&BA=X9XF+7{v$GP@(sHm`GGMhxQ<<|j3Xd7Mdw2)1{}2)pCw8FN zs*ml&vhbW;{$8+ld^h9RK6VZ^FbMOUaZ6r<|3ws)u$#hW-#QP)G>AiWA|N# zo8#$V5mm~9!dO;p*eRKiG9tF2{Ix8dhmyU{1`qpM=}DE?_o19~WA$m`OnrcIriv@} z+xgBSFpMuaw31xy?e_-1ltHsE^UN)F z{ZA&m!pzXvvd&uMkVS`6hWpPKoe~laGISnz<(&-=aH=<-$wX+d;aIP6nrW~lSpFG; znXhpwU>4&wPMDsTY&DP<)3fpr@em=s4u}7Sdf0K*_Y7!dt+Z%$da* z;7r<1vV!9HN(s)d-=lxKUvK&4)eBiW+U+QOBHYxgL}W@N5j<~1XB2QwfxgpOYYnQT ze#y3{afzc||Kf?Mw;A>TKQw9yyRAuL-s{}&IO1Uj^Rk$1ONzfc($O1x6HM7zTrfVo zv4lq9<;SnB}2A-EXJ%wqO4wxNQ!>sT5^P9=ki8(wjvT ztmO+)Y@ehGVY8P7s%l6bi%bl@h;qtuvq`?rrx7XV3R`2k(cEao8_2l-5_m9GmMaM+ zK9#xzH;Q?-Ng=ZE2C4M`T5I)X8`RL#jApnyMBnO+Ba|L#j63iR$a@ss#xZvoGU}X= zWqti3pT3bnP;*PA;wzcHVMJ&U8YuyB-u4(hjG*t=7KiKojRsy+4yq4;_rIH~J+pir zxdLz>MCt^=Z#oA%w!h~$C?aDw@y;TyX8xk0xu3AfPX4R|#Y{ZVyfECjCx=u0iCYlw zm=+l&;}iAS974Er6l{zbfCh2d(JmGe$5?#iWy@H{rOWypUm^ps-U?~zL3rkKPW4oA zRK^X!Q&d?bZL#c{lxYv80$iG5I3s)WfEjm^`)PxOgPi6c_C5JPa~|(cpr!JTKk>CX zF@=tWHO4Q(f%3+|b5d3Nd~&9xk-L{43;NdIy*+N59M4}{Y5$@ULXxZzP~O>u+UAic z!DNI0#bAF%1|O*iZXp_}8<(%wgJdn?KXQSvrriL6Ga79!3eJ6sJ<95vTI>S|e0N5$#k&x( z*B1PE79Ae&M9kGM=QP@ERKOo8dTA?T9R0F(X2*n6G}=g%!8-dCa#ia}^xh)Z33Q7N zSOg-tKC&sNt%Ya>aox0X9=U=@%FGCYo;m z{Ku_wCEuAa(U!a=g7l3j=#&%@>ZsYUL)D9f5Y{qeUjFSN-7uA8C@$#9C-3& z+qyX@KKeQMpb}=(gxX_?J0hKb2%0gMXsEjg5)bwIBM2tzcY+ruY8(4)(!OXzd5yh_ zbOCx;`a4_UK7-B1r^CvBZk`h6)S~e@WUZ;cghwG~upK3LjrAdDZN8tD zSAj*A0o&IhgF)hgeFrzkLI8yyyKyNb0C9m5C4i85eNd%R=yvlYhC$CwnVaTWCLyuL z>Gv2P((Qg?ENrF%>l44V*E#)uPnMKv@ns&Yl(%J4E&o z>)vet3z~c~fN(OLQO!yv!JuM{c$bh+F&%(e0+=Px=A5l61=ALjd@q`&_kp~d`JmsB ztyncEuYBV=K$m-~NTK1FGXmgilY6Oi^8nWLy_*ze>p-)XyQ=UKU1ur=;9kVz*hk>l zC;m72tyc4snkUca_@H5yO)^kFVyhmb$CzZ}{L4;R^K?$e38Mb0=N}H|=s>tW%06<> z2I=+>B*^X-;IJQi0mJNZHz)Yd69Ef>!DLW82!L^bqMz+Pu36`g+u7A0Jf7Yl*G;&* zr98~;8^)t&8ZiP)&@V}F>$qXJt)F*qtQYOJJSsFx>1Hn<+urX%seAVme4#_R?k%)e zbbGz%4^?fWpG*!$P9A1!EANxjZ_P_W-O!J2j{_Rj_hNh`Ja<%|n&d7`QzclCLLBVp zx>lgGzz~y8MPHrzF0Xysau3>p)_dq>nDB2@hy*J(n>bo)ldc$I%TuwMXwbnoP1b== zxd&gyTp2W;{JuXozoyT!XzC^|jAI zsL0`8K0K{i6y^QNhOB%=<*>keO&mW`q+^~L<9c8I=4mtOkLXT0uOEw3H1Ma~qm4K& z)fEBtua52-ZrWP$NdQV;L(iqzT4|}kj+gT%Iyo6aD`^=*o@fGcyG5g>wpE%lXdWqB zH>zjD_LP-gfgg=+GZAf;!=jjWOF6A=GX{N@-Qt!?ixtezMW1E0xYca?fJx>XIeg;b z=>mF*TrAaJvq)$r`-n8M&ac&Dv{W+}TbD&XeFnt4Bl964VJ~C7+6{f#jq>HqdjZlYG9~jp(*K&@T*(F&yxbsU^&_yACO%&Q$ni z3-GbGm#EUcCNuuX%wqh&!9Gns_fEd;PuLxY_*OsO5V8X$A?Tf zXrptr-7(hjH?1c~W^dlZ-0MleC+GEKAh+{+3Jg$&0g6mx8=j@GPzKCl9CJ2#o>9}j zHR0%h?&}zy4eY>ceWkVD_hSeA0wjrs3U=0E46sHa+V4^{T~_ zmNRI@09>nG-Y@CQJ(VH~!-S^VvQANLA6``KLeJ1}j4t>~_-<~nQvXfR5&X1Ejc`a~ zuzHZGF|I*fYIBrh^-NII#S-2=^Vvl4db37|O>kwl`r9@@CE|QYu~Q*)$A!KRmaCb{ z8i4>{0~_JTWRZ7nzoNsdZffTW{75j_P4i5S4#AD9-@n^8*eh$Akr->ssop7-I&ZsKZ)O*Cp?ofB`^1Dlm#|g&$jDk2mW`fyQ`Y52+8u$`eA+$#nUXeiaVvayE_zHU~!7J6n7Rci&NaWd~wD@L4)>Y+~_7A9Wjj`ND+U{Y_Q|Nrs38Xilo zFTN`$Ca9U(vTgaNRG*Q^aKW))y$V!Zq>%i#3kB6Hm{h8mA&IY)R%uddj0S^^uAl$& zr~X`S_~Pi#OSx+%0{c$_5R<{K*N*mw7ah9i)YE@QXRH63g}f%sbSm71+u0h-rKUQ? zGc#<=h(;%BR%?QuU8$oQ@%{Y#u-7wSLBLyPf4FHqwoa)#5cYDZM%>eY@HNIo9Vm|_ z^aI6^j%17bw29=R2h1PYt4@T_f`oQkytuE^h&B?xcusDv-J5*~SDGOJNPk2XK?02C ziLk{rJ$n)ub4k)8vDg1@Q}=0DbpHv7Ot`Tojd*vNEjnMXc&mqH!w`KMQ;|%CSdg>A)Xv73c2JyKB;GU9q7g0xJ_l%+IPd^b(<*y8*&3Oo zJmq6h?us9D0l)_KX-TuWscf`qbH>gYPB1t8tNhGKAr1KN-VhUj|{8j)Z`U>E1Ohf4f5Yh zs3gv(>HIhZl&#epLXn+gu}G$4Vz-E;D`&IFrYmQ+h@@L!vuLH$W!pBCeXlp9DeI^` zBrIF2F~lZoToFwetN$0@X+W?mi;|^wm4h?gOub!U>i&1eM&VQE-S1#z3X3=;Pt11w zfqzT#q5}5#u0iDEE`j$SzHfA1@RAC_rDbvz5lWJG%jG*Se1>;!?+G4-(GMi3wehp#mQu~xBF5QzLM2MI0nacUXA%uRjZraZ!q}_0z68VfM?^eK9 z@CahLxn6qtEv#L3zZ(&1op;OOD|iUG?dy`-@5X^L<=yh1dmljF!fEXXB0?yI&vG`M z7X3C5&IIyqozB}1AZNioHIt7VzD8Mk5>I01p+ykLXacPb#iu`3tzI@mm1(*T8Kw$X zUO+RYD~#d{g6?=&8PH7a>Qk{GZg)P+3)sNu516ir>4|{l#Pr0#J^)>q9zGSj(D)Nf zcSS=LuCR;S2?EKdSEGBqI}%c>u@!$&mT6<4CMnFCc&*U6rF@>0 z8Ev43AY>51JDg$RZ7qg;@Q$(WrY!S^ftrl4j>5=;=C7Sv8=AcG(wzWSCZC1o@2Yw; z|9al>ieny$f+CYu-!ggXQ%ho0yIRTfe@FX2Nzw1nnO2F?J8v{~KF>ZQ3>vQtJWQLG zmtF?4S|1bXPTjaO=KWRTrfqM0->S!QAZiwC*BcSh5m=Y3dR>+|Z=`>+vdDU1Y!=%Z z7IEFvt*KCPr}-Z6{(bR@j-v2Lh6TKZxl+GrU1>@n>jE*+!rvP*#=H}yrr4%NE%OGQ z8HJvAaqlpdf$MR(UQRQ0o`eiU;tLuy?Y4Q}DputqYN=n~MuT~$A`EM)H?Li1#wV&2 z-o-{y4-CGdh~Zq04*FiWHlJhGcoLcw`;6Wx`YFC-|z z-e7Mc1-e{_y;9^~YG)~zhUTa>oxDXypn55rBUhvPn-rDT6J_>R3JcE8=%DdMLY-jA~ZAoua13H#ddDj2PviB?;>O}LvRP1vHJu*BSc(mPnHVmy}_Q#xF4Ln0$ z5IGnBX0tVl$HKR_)yBTMvUR=P7Pp65nVPG z;q;fvXeJq1K2o;gF?BqZ$XEvZWxSdrU|wQnBF&-0+-OsHJM~7mZ8`pjzhZ}iG}&dq zINwCFuCW_OMnjm3A+*>D6hz(FQLUUYwbT|3ivV)!(&KtQQbGP`L5T?*73>QyLJt+C zya-j=?6Y1?koc)<5#i@EQ|1yFs&EuFU6M*)_!TIPlKp=&cvUGFy88;N(E0OB^8?jr z{n@5xqw`NIPINywZG2iGg4xAst!$^ZeJuN+`aeY_^|zL#4XNyd)c+EHuYcSqFFT2n zUzMJ>t*;pGNrJs?9;D;&Yl!DR5+Cg(OU3B zRdCzdaBghD9|R%|6s%85T@5V#Uh0wh5?;*Qd#VL6+Sl;fd!hNm< zhr>4C4@O&4by(i{E63=dL%d?*qOe=G>^pzv*IrF=<}A#-kAPwHY!9$FxuJxXIC0V+ zGLLJ53R1-)8>MrNsT^Gs8CV2gYrf zegKESk*5$qusZj(I^Y|9LENu&4b`v|wtWe<0?gm;-!vZCDvFfTE?v_8*z@M?Y5(2h zJFjwoDZ3CFR<;ppeRL;d(h+*qzNc_1Z_XWu4Oj1|7o62|agdEOddEl~CY>^Z_ zF>mHOZX~{7NSuy&+vGa>c&oKd3@k;Zg>6K-t1qi#gN`O#LZ0%USinYL^*oZAu#L_A zbLgOi$NbF#gsL&E(11>85Pgbg% zj5@r{pIV~IYjvyr?AN}~NJoZEr_u2l5?TX~HAiZxs}ok?`1z3Qg5Y)?2CC1OrJ`t* z!-oS8Tzy3xMd}&qmC{j7I#%;I?v(`SEe4WHWk1Ml3&}99;bX{@_-l$#vVnylr|W@?FGjR? zAB#iulRL06(!R<;il%5VGf01sZ%POWUpU?>&MOVYUg1CEH^V{xsgD1QKl8lu%kO*< z0JuM!GFv7WB{9)G-|~NMjcp0ASICMP16kVr(#6Z3VH`h~`<@|>n-O|kkL<>ZOzTN9 zL3X4Gct<~_rD>}ltgmNVc!_pZ`c`}6gDp*tq#7F9h=pzhLd<6}ow0^!)sIBY9J7)i z&^_yex#;x8T3{>co>7d#$~d+8WR?6Oro_13uv$gHT&!diQh1$CjUpl;9;`5!tH&V2 zp%5(u8x1XmvL*wCjbX|GVL+f{-Uu;Itxykn4)F^wU@lBjI*NPJsIGNIAjIBC4c>gl zrgd790NoSFCMcj+cSB|?9D^G&fKP!i*o59tyE zJSjuIp`$e$A6WoeLM2@=(Uhoaa!?FE6_y|j+=md(OOm5qWe2;STei+f@*^F75W?m~ zJE8;d#YoB^ze9i_0Qj;bT@*d<;acYfkL~ib|ZVqz?_5PE4!?g;~XG;S*iv}vor%F$?+rb=|%_g z0fTWvg1hh`(lSWscvxWe9w-?dFj~FOWchjq_da|S2h0wh;0IDl&!L0aTiNQoK~;pF zCSxWB7G>{$m=`!n;O=(3pp6&e@g)?T?fLWi`l*w1$|YwcnPN(kF5%cUY9NvCwW2H; zu7dcMY(o=nuuDGIIZ4!BrS8TTGKoh*;{Rb6etvY4D2^~zX|z8pL|@psF$D;uf3|$a z5&CXNt6fP%))|fgf5Tg;ZsQRBkv1Qv6WK34l7$gTnSw05w;@p2!U4t+9>fjP?QO7) zt`A?L_N>|HAltT(hP?BvF;fC)s77amIqwO}$#~Kau@`$?;tE1|TR5^NY6AOyS0Dhm zlW{2_k$P$}@pMD7rh_##w4VryOBT-$ZKJuvVY~d00Dy){^rYz^rd@PXc(gx&vyyfg zZ{TEM80^IojSnz{|G?Z(m1nE5Kr3EkC z?F%EFm0`o-&c}NzaDesjDHXK!p^5$%vIk}90tFZ^;=?_%dvo)`nW7aH85F_m_4H?~~XwfA=!{nxc|{MWVl9KLmJC)~B|{h-lCD9V}C zpufoONj;ug&y26$tKyE><_#&)`sNA$`_6t4aKB%;-(PB=XBF3 zD9lIsm2ER;bG>B0zr9bSen-8v_VL=68`N^j`+jKaRwGOOk!X8Uto*VE6S^aO2HF%W zy6nM$rU;**Zi1R<=x7ho6cTt%5754&E8m^L+AXM`$P)&C)D~t7*avP;C=k8ti@OiLe_)V} zklWbFM=@W{BZx%sZSv$Jz3-zJw4e{9vHwg8A@+ot^?^+Hp9vxRp3sdx&<%qb4v?bX zfILjK;)WwotTC!~g_`a`s+gL_pL!Y;6BG`Mis>nUJw^wm!EB;~B48OYK{2prV6XfY zCQw4{$^$5IWOORQLB6V5&j%4Im+gTV9wN6IKe%|k~sJ<4(cnl;7OfAR5Z^Dm-9HOVPQ=ALUn4CRBOCy z$^RX()uR#Wg~zf>|0FEhj#YP)tpw9)8~@+tp>=DSd_o}!z(TouarFN$4U{C_m)+s< zb!w+=a|>^Xo`#8|z>>fFMD&q+4*6%o77yUAai>w=MwJaXwT<`z$B~Wrm65jc{cvAi zd(PFQHne}%bM%h%{@&4oB~&-Pvl1d^KjRFb$h3bB_cUhi6pE?oPYP%rSc?mo9^8KT zFONjsIcX~==5YIoQ!^GM;yoixtKmKKO@r>IdRt=;xML5{iwo!;?24)RXOn0?q-Zau zCP}h?xV0z+#Y@K|p>Shxe_9!m&mo!LmHvvz-Rh<*vFk*$Kwd1|PI7I|eHbD7U|M`e z`@o_2^vota*TE|d{aZ43*EKrfeBmxQ?SmhPGktqs^_?`ZdRQ>Ill+1WM)ghXy_a4L z)rIW6u1xeW%XC;zW&J!{u|1=IU!q<*T2%sft^08k#tlo(l10iORRu7yDdvNB@yS2M ztB*NL0ui>M_+tHwlDX*9Kt})Mh7el>jRbtLpNo=wk+#YBVkbVNAErEWM9}Sm%Z*NH zCNsBaOiQ+fB(GM1)D?6>idRNJYHpEg1^`7hsgI7%OC_m8uEieiPAPm;J`Dh$?_HI3 zE|wqv)5o7z=~+EUWvo(7OswVgeBi3`9QE~kJdA%Tycx;w9Fk|I(<0@qZV7Pw()nEQ zw$Y|X>^%KaV9$<-?=bz+MP0JXGD>kL>{xUKBsJ2^8dCm*r4|cDiz{Dok9Wxcd;7el z^R$B~i>DH^XqjwTl)s}WWYd9Z1)4ZC@4bG_WuVS69HfL_df7#=Qv|(ZuL(LvnmAv4q>m(vbdk3@_d2eRQ`aIld-gwjqyj z-*q{@R$-Q*=J1cZ^w~xy@3u*$DXt!S((hM>Go&}vV4x19NxkStG`B?QHA+vxiZAv- zy7nFz&2ux+oe9V(peE!v_F{%z6S-upyht6xc2@&fOZsKSo7|s@cfwJr4PjP?F z+mfM+?aAtPWhSe2nxa@}x>b`h*BL<}z9jXv_<4&eZJ2!yDL-PmfK02Kt*TpGQW@#C z#AUu2W4}yBbb=RE5=%g%4%0(N@X(*a4K|}k%+Y%2ro?%Agctsp+a}niS(8U^BG2|U zN`wBs3GK*}a08BSo|mcFx$prbXwz%X?|HA$Y##vE5H?AU2Bmag${BxT?{{d}K^c?k zo+N+I{3PrmKe|d!3srAoo$?#(b11p2$M6GT4Utn_tbF)akL?%tu^N?L8hVlJOWzMd zwNvu7yKM9HuS~StsG!Ufu%Kc&y7tf_JTc~fWz(K{*gB}TSH#UIMWPxtc|VEfH)6ok zHmbueW-=uLU(hpjdmbX(m8{NLrvCF1)gq5px2S|)U5wZ>$_1$tP9sWt#0NDmyE~&mgCyDXUxT-ZJ_}qiL#3nXzV6d4bVl z6HhJ8)fgG?Ul{IXqLNOK91Gf*_#cd2>HBsH%r_G2&ieFTX3E1IA%A0WK;H3&$@gc@#TjNUbF+{K<+DX^kJ_!`tSP^Y7T%*gM^zicya28bbSZ zR}=fJ(?4_DXT%B`fF_r=RgB%9MfhH0C1vTJ3wVr*f2TE-9**f4*)B0B%y{W6KUF&( zTU+nwH#B}?0d~ZY>=Q1`W4JZNkem@NxJJP2>6pUT&^L52rhfvJu{sEP%rTPq@c3zc z1_ZTf$CZZ|s_?C71$5m}xzeP#IiyKP4B0ifiHopooKjiFWm!sAkQL{$;?z;v+Z5+w z;?#%1-imWcaq5wsm}xLNv$;{qe=F=7sJ)&U4hvX_I^Tv^EL_Lo+x-MqQ3`(R-bF@?v<+it@Pyf4Obtf+!9C8|NDD^$-+P?RQJMIy z7ZYa6Wn@(g>q>j_AYM7D^V%Q|y=Y98p)jfTr%F=))?QRw|nZ*x=aY^>c}FOIi|Y3syb&qY18FaVx8Vp!VT7^kV!3TpZYAJ zCjSetgS{rO!q0>G*O92pLTCqp{a+nopL~Pn%1i#8^2y_tM!Pa{=~}z{eQa~n-vilM z^OyEaBK^jPC|Wao|2o35xIiHUeT>d<|H{h>M1q$I0+1DZgAqK0kYhM;`@p2~QaFOa zKgvxcz7qY)7W#TxOB&?H&@1VtoXPDlaCI1?MeJ<|W>Z&}SZJf^wVMqJMAgQrq2L4G z2EWWdN8KEm3RPN}=BVZNN+CDdx*1e#AO6s1MkS$ww!fYqhJ>LQYpgf>V9Y*!Q5-T9 zxf@0VnTYxJ_0i@*`XmVLEh_->GOr<8W+~*DD+L_AP)|HG??%NE z7n`3n6}UTmk@5FZCgR9Z=e7J~{z%*HkK^2Hfy_q;;Ci>*`^3ZF=W6$QI57wJ2)JqJ zRR&D?tn}W$S%*emPBN#OMFNVxQMl5t&0tJ9Kx=tYU+AYcCAn-kSzLq{pdK+(ry~)7#l|93gGxC&E%7?;w`8CIFUCv7T_EYxh zbn3;&ljhgFlJOmS;YSIfGKiVed0@e_`8T#iHJp<~cg1^sML**!i?6wF5JlX_lw8do zhBDE5wx)m6b{uSptM88`RavRkSQCu|jz6EWayBDU2&@!+#{P}{bQ7DSbo`V%em9eP z0oM3gp8^_B^!6N)<@8=xKC1V=bd!lsh(r9*mP1{Vg0TkuLX6bxrm1m2ty{W6{_XXI z*S)Gct<*EhEzCqBGo{OzYrT;i$-_MZGm_PN@U!Zvd;jq;HmZlyHEZW!{iJiMhh+&2 zyGE+ZZStD;!NRp{)()*f?U?Y~A~F_J~qt`aXi zyW>oV`R58;XK|WEbqih!DjyMJiYz>EON?!`^j{O_4pdHDW%vI6W{B4K;=eX%LF;Ev zQCm6ma$~8lQpf3NtUmGz318nQbzVCfKDCbQ>NrZjJl-!ueB@D^Gy7iuPiY3u@BQCD zU^(s%sSB2C1Dq}wo;5wvyRAsjj}T72wSCgl^2pa3z%AOK*o^BL*8sWIs2uqz_gkbb zs9kvbgln%Y7>Dfi7I3?mDzf2KKrprb0dU(9`N$p#D#kNS*vkI`-X}TD#Dg+MK57n{ zn1ewir}>dx?ORl~;9K$s$QQ7gz*+;zsUG0gArkZ*Z-Z9mrE^Q%5xl{*=0bK#ANg3h z6}0L~&AT=NxD|_)j2e)|CrVqMoFMo)tsNxaaJut(BEGZ_TfXS^{(J zCJ7pTf*-m+lkeh$UPW|{b#|czWthN#XP$Rvd8Gs&!_ODh&)s>eA()t*oJYI0_3B8q-BYUnM>*+0Y=O~MbeeWlu9jk>Kkpr zSY94nSy0SC)-R%w2a#5`UNx(|zF8cumQw5d68At2gVTfjbmX)W11*D7tb%+kwh6+W zkAlC^)662reIu8KL2^w!S$4TFs+9F+{|mYWhXyGSMBmXY7IWWYGj$+!0=Y^JeXlj`c7Il``f^G8ETrFW#L>H+kqL1Lw9FJbH`|a`I3PaWTzV{ zN4pbC3Np|Yv32ZQn&4ggHNM?CCuIp5HBG9aj4rUF!HPc6wOk$QEPkh_v#I9UQ|EXj%ry(iHZN5MV;I>_B zJ+An?$&58Mhlur^N1vrG)jx;(`_j&tJ|rD%y^FgMu{%VyKsV7^%RM#tl3>)mWtj5W zG*QdsTgSrvJQLRKxW#D9T&b(bJx+lp*u>V1^@@a(<<_HY7gZD2))WfMgXyM7O%a~v zACf@sekl@+vjJD#qJ~`sZfiofCg+Dw#gr^9R39gXdIi>$aPx^tJ|-{WaX;~F#)cr1 z8n+rRt`N2pRhw+Vhxe*Rf>LD#3VgHBn_`0Et#vd~?%E04$ zHeZeolcZjX?4pNX@xMKl9rp~ok2gZIPjG z%I`5tN1f_ECcAPup`1`hAJJkO*PuWji6_=PLLZqV79YgXCKku}x$rjP3TxdX;bS~r zTKbb})<}N3#0%Diu9lbCRjiFwiol9i$>ZKvWfrFRd#mUWF0eABTHRBEZXFmSQrvjxm{6mGTbM{KP6x_u{su=3{bvE=wg3%YGL|BkXo?y$ zvwK#9^IlXXHrlM9t)e!O&6?OyJ8^iD!J{ggA^Ik@5Db~XAVDc*xc2>{Iof*p7UY|HY~qV|*J7}VzlgW$Zr4K1%XL0{=EjK+{n zm*bb2VZ-0g7j?H@$-M6^*i)eYVW#O$`a%sZyN$>-S;Ju_YbVU&Il!z^9BLfnpDWE0 z|K!Qw+R9FCF~k@_kYQeq$;3bd)}`_9=FW=yDgk6L#EeTFT=l#(-WI&KlBH+f{~$@% zkyAEXPrEISrpXQ7^f7~~y~spFFM4+Kgs9^78*L{V=gex>)(5l2dQtr5_JK}ZCIXNyd>}OrGZezUc-i{-3aB;%VJ~1P46oKpKe~rP} z0fKg^y*HC{HeG~#=|6h~jWR5HNG%3x6;SPhy$7nczPxkikgB4SVy_7zwt|Y1+4pEPCcnRs#frf659X7jopTE49`-3C9IPIOI!^Zd5$xWvyV1kmr4W| zZ#cYJTN!^zs#8}(`-K6)o-dA`(GTdX5oRm1LbLhyl2}yM$=`}bxF!$tGs0>uOA+-< zWv-+|$yb8WCSBEb)8^>5)*K|&;7(r#qXDk$*Y3zZE{H|a3ErI% z5ozKIvP2##ees26H^1dyUnM0Fp@rWS+XTOk#KP76LwYijmF9PzP@$PBL|o(9(!B%8^raj|_b+DJN!g}C;*uW_&WVGN z_x;w1Wv4mTyO#Oh5?-Zpc6he2N`&QrPCZ~2@U71(Ood<`+MS=$rMiS4t|+IfL6BMe zYBuH*1hmvqGo1MAyIjYU3pBJVd#a}#xL*=ZmC*$q#AD?jmfN@9LqOMNclsJUQyR#< zm*wmp*$XyKMx380Tl863|2263j^Di}RJbt}ef$oLJ5k3YQHJnDSBg{!=oN9CboE1a;wg{xMg|DG{o}W7K_ScDx&M>Fz_I z?USaFau%77Vr%cgQFkhY_0Mwb;bkhXq$1LIQs&4$VoO5xq-iNE4E0LBwm{ZOk&6>25-RM`I(%8jvi} zHdh5ZAZ=imVwDa|+KmBXbekY7TJMCJM=x+qpm2l`b3eU8YfUzy@A>$czymvX16;_l zCo5Ctdmo_J>)7s+eXqs-%(43d@0h%aV!k6y#47l#H(_SKq^Y-W^Je9pC-_cXSi}x2 z&9<(&gTeUpsihL3pcjIU4LnCUdacv73P+XVNBx9r3vN+`*8Z=}ugkbO~(YllgIfO5qj}~S1-Ks(^w_WJm4Z6@q9W@s(eme~xksMTTLJa&bUVJS6Y^Ov zFRf~ENv4K=K=<8yxddl3lK)xm8T`eWS)Cb7l;PjRW~<(gdf6Z9wjCIdRivK8D+(r} zh8IvjmV~(oYR{9V>&`tmjM<++{SSy3O=)s6($x8J*_tbOb%-bx7B9ZcBCltBjAa3g z8Y=LaBN^9r7)Lv8`o7O1c^8r`O$$Z<a@LDbS!pFn znWJy1dGw*y`(x#jw88vhvsLv|i{@qgqrKYQ4sL-x#9;i8@?~?;YjryadCFPgT<8#| z{e(uolG{!&@8V;*>dj@U77Jt9Nbzo&pYulG;OvJXJ)FkJkx#g7;Okdte|vnQY&`*J z*E3WhLe*=JPmqhdmJXyJsY;pQR8&vgPg?G9om=8ftsh7ZrqW<@s0@*FGu;AUWiF9k%e$HxL6btr)N-~ z9cYslO1g<{w=ysfpWvAJ%GZSwwrmtI1+NA9$cq*|`kD6&Y%xSYA3|5tvu6>BP`hHz zI@~dl;IV(N8dc6(tsX=@uuSo{h|mWW8*C^6ZBWt^{4JuTsck}ZyCO=*wEt7iwAL8{CG$gFaQj%`QWK^MEOVajaoYM}FL#AHg}+>M9=P$=scb;&SXdzttd;>U zkpPNGOD76me%LLNGUF7iC!7g7+bVqwBLX-*hPXAw#oeyt&FlTkdux$H6vs7e90Tnz zzLXKhA$~LLqChS=^dI|pi|wL*{PN7t%7l6SdXLUdNE(vm+ZYA%(s75_I7Rk?ZQr4T zN00|rRpE_N(MO-71D~dQQ!309bFFA}>t69BQF9=zR@Mvlj$QpBlBq9Vp5<%;X9VYw zHcZ-@P@E^2w~h{XjZ|0FFhUCjl`Vmg33>0ZmYfxCMSvX^5DteZEwUD3utH zYy~kxbU(w7wilC3Ci|r&q6EBuOHJt(O2B^&Ybm4_#)43?QegZxtVJmcKy3oxzSnD} zU?{iCYulTUd-%3pr6Ba_LYYE(?^J%M zRnB{DV1|8sDVu`}P$*rv?CqbpZgJKgq5aaNjYb zKgr%$8bi%)a=3GqgjcAz=cs$^?`)zTe>ms=J+<~1Ze%cY0HA{7CI#~RL%EoOZ|0gb zVo43Exdq6GApEZ&zuS1`^IyvBe{{U*F?@|&7r1Ys0NOu}!#8tX2mJp*>w5dTzrUoc;By11{Q=gU&ifd$)K$-aO#ii4s(e$zRobNREG#%f!+Z^n0 zRTEm=N~)|#_jhNfV|#;>*OHQgf#pFQN?=9`THQ*D)Ok+ER_uCkHIHYO6M{L~;x6Du z8xB-My4o8ivFEM!E-o(~fz^;a1>6T{0VWjzW?2DM>!u@JA1MPcgAuq^zH)-lhp`>; zkR{onl!D!-K~}-}P`g|cc5(2cig9C`7-*ogqz3v&guj#$;KS<5@olqvN7 zirA#NV9L|H-(DfgL8rfdn_|)Z-MJn1SW+aFAR9unhgK;PC7r-CpL=DIVDn!4C6ieq z+SeoOul@GltBa0|5+IrjP+dCue7Xe7RHgjr)z5O_ht#Q0$i4z zksKY19L^hsS_XGPKm;_Y8jD}!Ns|te6$!sTo+Obx%8+j++uH^U@d@a*rJbIfwj(zms}+>dwO7mhyYzPDD~Ts4@cqm?%cAHXe|77nIQR8+pH=hc07Ko-OzdVD;Qz}9tE@`hoeoO05RnX)YCF756W zvqq}wmGN&HM}9mbb+n0h@U`CN4)L!HZI@S?FuXA)X`7bs8cJ%=83IdkYI5Eipl|H$ zk7{&2>mX*(WziopZZ~yYFV7}_-kJAqV>UpH^91EiZ4n(fAJD#!y0qH_YED+zUf!8d z-aCHxtYnqVYN_g17tQV%y6>98` z-Zajj`?=tPsqmw0zTbrvZSj&MC{FVJaA(M#q`0ilT^^qo;IDs|=%TTO&%`}$hRFi^ za4yM&I6qUicRV*OeAnKgq~Nh!#>{{f+})SHw(6q2?UjtR z)l~fsm)Fe_P~6GnJHIh*0E8KKjO*|;#CKtJ;V6`J0uk;OxZZ-AY@PvyT$?UMt0 z$~ZUx2l7)!W32C&s?JrOS??g8u@H=8@ZU$PoAO`gDJKmC>X4+il zg{}(o(uff)1n~zI#H>Ip(i=ApBd$N-YjUsdOcSTO4DksocF>H}Xf38RsQl@I`!ip& zB3rg+wa*F^ydnT1IwHgUA)|C~G&9uF{(K$2fa~gN?Y7E=72~<9@OXx^`%!R8w_Tp# zMte8s>*M!I)3?AW-RJ1U@O8*rUgne`)L@Qqgg_-ogD`X2&}VT1bl5L}A4R;(u#b57 zd7Bb7U*emgv?ynd&>p88v%IdlnMV!B9LW(6zmew0EY!sfPP!9T$sy$j{z>=GHlO}S zW$ZJkga9*CY^7A74QYlY>Al<62l4xtmodn7oKy8I+J2mFY=`$Uet4Vcx-A^ZEu0D0 zPqmpJg13{tDMlClW%0Y39$*`_j_2g+23*&QhJ-m2HUln`=`*ew=a?=wV3S0RXRAkRcJFTwm5=0NHQC`A z@B*@(9^S+msA2c)?})VtZw8M&^oEol{b#J6)UIPX?#}%GJ_kpPr(6nw}_!n#Y1VE{0nh}b1uSABbcgFJxtyX zLU3<)`&2Qw4S4&=tyo|pj#~V~)DFUsh{M}2f{}Gtj$pCqI{ZUNXTfNScmupqidciN zL)8y{85g!gMo0s4$mSl^*s@%QVDDakSOTeLV zz?Z!;akzD!zC0B8tI^Zcb>0Bpx+SC0s(gQI5MZ1KqE-2Q-Tspi=*><Z1YOp)+%)O4Wwn2p%c1^#jGQx_V8gktM;g|iEr zdP;*|Y6Jg+Ju89(tEu)hnEo`_)WjO!f)0+DB$Y?0)s&3aQa+xhV4)`7YiTg@AP3k0J&~hr^mj8-UrEur#ld$9 zBW&ge*!WujJUapQRBrEpUR?qIy1%sn@acSG(0fOqcMm{s(4u3||C$Uyu(e+dnrH2< z0VqD@tJoR}Io%MLO>~UW5u+Ex7@GJXC6PM9oqK4CU?uq~TGEd`qo-llso9UP!6`t~~ z{#rar>(f9dYlP-K!3tv%H0u;tr$G7sJpj(WW5=D^y>8^xs1-4S=(HS#tsE$=TQhF> z@*#ig%YbfM6yQ8TFZLi6tiD(PYyN?&BUt*i`Ez`T#IixGAKPdp^JuJtH47(l%yhnJ zi=U9m-kj5EUbyf|oCw+UfHL8xGiHlD*7GXAG_YiWv3V&!B1=IY7|Wt2BFn6t1IuDC zk-3bZRcRh8sysIHVlkGvBw~}eKoy9ZihpF+DuJmLl;)-*#hd9zQ-}v}^D9a^?7P;w z>6;nLW1Z5w zb@RkT(ps^u**;*8lO$w!RN^k_kOYrgsWOpDS$O#v0I(1gyN07`ei+ewi@*<1c^u%w zR|=19(o>ASml9JKegYto5kLus&kBZ*1o(Y`u~!mvR~3x7_=nxz&?~5$Iz3_dB292r zC|zD6oga^LR?mPO4tE?TwFo9v3FdYkgB~>m&Iqhh0gRdv*z~Oy<)4VN2*&ZZ+%ewx zJU%2GXZSBa^X??;TF0CKYgBNNC>%%B5g;Qt_adA}6k?Dmepx$ax#QeKe@d{)_ISNw zM=bJnxS}xTQ^O0I!lzuS=)m&r5QP`u0dqegB%{S7AwWeOPFSUR2?v|plWkmT;9PcF zN+!8<4`8wqgj3`YD!7bsm_*WfUEQMuZd?C6c1QBRs8~Q44GGF1veZyq@1LVw<|MEP zZY0~Wz6SSBuORImaxPc$1m$!u!4S`LT`KxhayY!dO^&x`hmLV6OJr2+UjJ^9`6U?d zZIM3*oM)(SK*1(Z;z(|(Dvk z36q=$kUjQk z*Zhi=pwFJ@_U_FJ8S{*NTNNFpO_tN#1cv6Lkq^38+NQA1FXy?HKU$A0V(4B|)51D? z_H!!%nvYA!=pJ2t!m@K6r*CHHJUJKnC%jsZo_P`3S`KrHlC@h$ahi#=e@ppYjMl6SMt+Ohb96EuhUfsBdRT>|{Xon!x{1>-w`OB1^?l--0)BF!cmG`VL0!1zhxADVIA( z#jF`toNx06%vzzsw|)xABl;X|^S41apxO>VkxlMjXn?Ll1%3T=OiJ`w+vUGQ0TdPJ zduyj*nxfCNKK~sO{8f?CvUVzNDeCM4{KiqDxx=ou!!EJY8s2>b&t0kg+o<5=0C&v` zi=OQb-TJy@U-%5Wq`S*FSb(x_E0 z3R|p|ABt@;I-|zUVO_zKVzbhDa~P|Izu!u1Z`oqRs@iVB+KWEvAj5WvG{CwlPlNb0 z**-KO&t-X*i})1xh@4FDraI3>e70lUPbPRyS7 zBPc4#IC%x(e%Yx5jS(}0k(}VH;@nC2xM}>jE36&7&|_OQV|p!PL2zqH{>)O+++k80 z%ODS`7j121aqVO|;q_l)lo4~BQ5M#IHuBsFaMEieAsv9Fon<`ZVH<9NAtDE>1 z)MqV1Jt*HbE&Pg`dd)*U0z$jxT9BYsO8?WO3N(EgsOJB5{pAHW|87KGvNHnzywpgV z=wF_kD2JqQjs?wZBiebX>3`J<;0n|HmHS7cw4k~vbiu1_**<;wp7UJ&A2|+b3pZS+ z|5V_hJoCs$ewaUAi3S1h<3pURcw=mt*~|pnbT#zV-ekc{&zDe$d>eg6fGw;L#eb)K zJ2+m;maH4PCv=5hS9@JG@?LfX930jC{c-$Z;UaQN!ejV%w8N5o|Mj1_jt5CWx}r+HzM$c@`ElEF=t8mPKGC(Vl5$z03(t=GxGZ}rR=nv;jtBWiV& z;H#z9G;S##?BsYvX1vLgKB94v`lEv7rUJ8DhRi^hv5)_4432Q_fMB~}@(FjR|2PcE z^NG7O#B*Jnt!xU%=hgDcq_0C9xznlsm|le|%4|jdx^ozDp3Yow$-ct#umPJUf0=BV z>)3mJyV1JR;0))*0Ot><{4aSR^Vl{>gE*;6JqGL~KInzu2#F?*_Z|^2bUS-bkw02bnaYTn^##HsFXZFLBCh}?9{@h0kfVkDQv*tV7T*>pFbcFtv2M2YyirW$jJNE{VZZ*GDGTNr$< zo4J|U*xMUAc-@n>cyqYt7B3e6Y;(Ft;q?knSayPz(I6|hn{Z_Bj%hIQ4i`C?v5Oys zmlw*HN7;f;IP`e`I5S(r=7A{@%^ctiYs@Ailxn&y`H9Y@%>-g0ST-}1Exwm@;5 zrI@X(ao^k`q*%ot(Izh$JcVP5E)Pn$L>jn-=npL*hv3gjxP?pT#6OA>D3uX=8I7>j zPgbKin%=BxvA$-I%9^!i#e96}H?HjYT@z6efsJeQXgC%Y7=?#D#bPpRRk8&=6>RRi zua?)#3Uyi_w?e)N6=YRF?}f6D6{MDTYlTuBv+r6tVb&Wyyit6o$%f8^WiI@y*(~q^ z%|JwPt0|{j&4d5ldhC!xrg%rAM@Rb$PfJ@fNd9J@agsY@SZBWFB#|1L`-kjjXUyyK zb+tVwvSjSg?s?_$!(r1MUpwe4;^FN=_8V(xN4aMFdtAo}bz4&##ya`;5q`2K-u6fp z8+K+@!XN*=m!fA1gNm&0o8<4z7!eUk)V2O*!c=02@YChUC-`;#2*0Xq3(?BASeB4#I#B+~;TanFKP&6j136A@w> zIW2_+dT877O)ic<+kWd@rF~p(7MrQck|$J%VbW<;G6IMaO!9j z^+z|^qqwSpQJolJUe?`PKZ8z8yT-LIYLRMk#FI)Ya{=R)#0<{=_E z{URROgiz}IGbM@Ls{s>c3a1enej1k`VL+XqJr(;kV_dq@Nl{{vip#!1T4Nx@p_}jpx0>q+^L&9JFM?#)69+<$8}P*`l1K=*W)li5F{0ZO zjc9Z!{EY44_b&w#C0;#1AWi>I8OBzPezpju~RTL-hxq1Z3) zBLR73OjabE`=R^-D8v-k23`Fy6$6kwiE#xdh2-lk4mmDxE*I-ZBv^c%i!4g>xc|3M62FTrIL}UxjPHSF|{s?n$<<-VY-?r$C z?T5TX!Qke8u*|DXu}oQiCHyFGfmaC7!_ zcXncEYU&8TX*5Y@PXhdwo_XS^miYQAoW_dB-e@x{DWXxC#hj4a_}RP`=YXiH2=BA zD0k-Z?d+|6pZ(S_eA5=EPW+Ij{w$izvXYwB7KR<*^4c2r&V|S0V0PNh><)<}Dz9*R ztz~<99_r*Y*jIWGJF7$X*aT6=qsJLg0?y;Kf@FWy>P@SU`?SAxeLjQ+S5pwscn0LV z{43DqRA4sUJdoX$kn;&*58rcvpa}wS>ik}{!Pb=~?KS$;ky9X4J=Xjzoa>l+^A`|| znoxl1r*SPaMQDXZ>irv`sBIZkU(79yQr^QaXA6bS`1)9Ue$#TGDw0u10v(_hRnTJn z7yVi=C7W%6Wc7UIxiv3G;&h~AT$j#vu~=hx;+nVUX(K$BD~2h@>I`9pz4AWyTke}E)2prDadO)04pdh3h(?IEdc zC@W)o!;AahkyLvqYAsY^Xr4_g@yeY_z}BA3Kd>li@|V4sNk(60N`8zV)E^YkyhE5^ zI8o;E@`@(IODpqAJ445@!_QIWyMpt>iONmM%XNgR9PV)zSApqBXEsWmrm`07$V{g< z-858{QIeM&iD_r#azG1@l@CzEy3+SkcVVWJM;7LHEJ)$Q5BYhtei4~T^E(3l38@o< zw9-QE{4pT8{umY(Fok~dec)Fvl@kgIPNt;FuMf_@C!(|=FIN$I!V0GhFU`*@?Y@+G z|0SB`<0JJ1$K(QTcfxC?>F5jwL5pUqlR-sOD2Pkg#9qi2L1!Fv0dGDHokhdkUrqN) zjua5t+FZ2M;4la?d|4bt#F#+X&>ovd4C>+el8Ul)#OUzD{0i)Sl3E05H!5ch)HWfO`EcJ zKfLeGs996jN)+#XB&TTiCHCGVD;nL=PW3*~V#pk2k&#Dt15N^X_JlCOx>%6C(gz@b z>&M2^i~Rtlj$^$pP-9z^(vV=v<51_x-NCS3liP{ve_ zPjISrz4q9`(r?=Z`u*<~C6Pu2TYVA^Ux=DGHHXSwIbKvZ2Q_4%(> z3Vdbd-;>fVhC^eUw(drm*&;3=$3gmF=WT$fM!Eff-;5~VuqfrR zlUA#h?zc)&63b9bONA`|>~|`yl?YIT ziI1#;gevVexc~6HkFlo%3gp zU+rj$9PNPXX+z}aBMfFmm_xf-4OTa{`Ft{^cghsF;CtLiJ}|JJVPsfFN;3`1<$x zHx!6*@vSoy;)<@jiE;TgK1^MfqpvQD;tY_Ne?}}y2A@<7Zmg&5jCyIRXv_>0?!{#A zL+;%`@JP4b#S_m-UG1mWXdoed>O)#1x|55yfk{c|C!XwvwYbb%E5P&iNUR=JXw2 ziK?(IBIN500mR;6Q!bMkR$gTBNv1o!y?xql{!GA`m;-5cnQ0+R$Y3g-XW5*(t%~3{ zOZO^DE46#h8fGV?-lQ&DN&(ze&Evqc)<(5!L%3Jv>H`qA@l^hgL%0N6$#+qXTin4{ z%EJ{}n`%u-CfRK!u^wQ~+5AN&o109@9>bU121(fr4l}OTm@N;H7R%;c4}FXKB5n6y z9;Fx6axGeEJDNwB8W0B1_$@|gv26Sb17FQY9>?tVE`8;0zHSe<_s4r{EbxeHi;r;6 zvsy)Xi<oCb&{dQG8<+n?jo0H}CL&;{NQi}$kTU=<=1RH*p0w4BE8$)%&jadm;P z64?7D?dv1tv?ZXh9j+BWOG+=Ko)L?kL_B`kmc?>(*^(BvcOQl>BE{t+^06xP)p`X|Wh7+AJ>rGVZCYORk~|K`s|yAfE{NyC69i zq6D6RxJW48YVi<2@-gr#3X`1ll`k_aJa^a%C6QIFwWxR^|79Q~p$#W)k?C@!OJ;tT zF76LQI)Ly1o&PH=9!3P8n=ql*$+5UM5#Obn3cQ1rRxjc=ZKj^9mAZTKKprX2_kT4*AunN0@zijvAYN+$5 zR3(S%i7nNA7wetl!{;YBtdsRgt(GSJprGVBH~q=jE=OTe+F;eK+tZ7e!OPp@i<$9L zn>}^0p8XVUPBEFNY7FFHfYQ&CgL(8?R*?vs4?|&T>ikHW1wvtQ>Wm~U$B;CB4Wi-H zDM?xmA#n+ZMzLNyT~gW?hzwT=PpV_sA=c;*G-o9?I2qJ&Osac#P%T@vRLrFlEL)jR zfmC76ri-gH30oAKO~F)Q*6naM!1yM8i3h)V98PIo^7C z``DtKyj_}VztZ_pyR8C}F#3>ERq7$6u|+s}`YJIHScDszN~kuFXblkv48@Bo*L4u} zxhWcz-Teu#ioQSoh!>X$N04p})n+wC9 z*modjT$(sWV^p7_DyNu2ORUynwxmRnT`!$0=L)9i8azcsej^uS;_%KUJEu*QdVZFj zOAG^biJ*zBB`0(fIl)4ac_Tv29x9Domq);AI0q~GNC~NM^pRn6q_`!8z~Y*qZB~AN zY*EK?KYF@D0~k**vTm3*LnHF_oB@jUM|QK%9nTU_Xs`4A7WhCVUpt_+f~6;s|2X1dvLs+{A=E%mdeR>H!A@Q0j8S z49c&(hlhsbwJX?4Lb94xK66V!+D zm7B}1$h?B+a+8>=mQ>9F`Kt`&E7lh&+m|V!xUv9ay(E$^ULwfI>o}8EeAwejdb`|XhbfPtQO>e z5UKI7?qn(4=m8XX#HY{sOXJ(3(93urxOgxS87ve?gg;E~a4cU8QYJ8hE;SgjZjK4C z*rP*tbmk6T?JbYd8X&i+U{aXp#M5;XNIwf9SgJ?wo`m{SQS%(r%KNT<9x zBAEH&l_3X6q@B&v4K30iE&jO*#gqPGnNn%E-?(7wF{+u_ma1Q9SV<75UHW|S+>|3) z8!UOo|L7TrdAPXPyh?^w-nlt0$RV{MMK`Hg#^?F8VE8fSmE*3(cV%+>c)z^A5<&Vv zo|sX=KPm8GzKw!=V7NN}2!2hN>kE^fIkYV1$jd>SXw#Cx&+75`xaepRwHERr-FVNi z(Xp;M!Ns%mTDD&CU5f4Zl+_@~po?g6Opx%qjA2$GJ(kkdC%J1^J7NAAc}Zv44s6^;ZK~s&nN>=GlYM8LaIVyu%`Ff4 z)=6jH(vg?ciMKD$q^vGa7fyuxFkC#+(z)G`xvH2IpY;Y4`*v@4>h5OD{Fs(EQ5)r# zrbx{s`UeX)wdeYZ1CG5RY*0~Qnex&6tx1)Yh!3T-Axdr6uj?u-Ty47F)HTUF5$N5X z>V_^8Ln}k(cPpRYqoSv484WEPcu2=XDa4p$+TV0DvOC7SC%n(cDOMF98#5J`?|M!y zO{x+;8&EI24VNbgmxudG&l_VEmrHLKD<&x>CpL^!F z0>WHC$KMsa`e&OqASZ^G?~4=jq|3$xZX)S)JXx!{iVa zG{Y$U!1^gK&6N{A?H#oaa)C%_iI#<2E&%Cu>3|DDJ2m$8Dc{dU>v)RGg0oy3fI`Nn zH_Aw32~Wvg*NB3Ot>_J+72%8rkxcav&MKF+Dg#YNJU<@l&kuzD>;uDQ^%Yp)(2_pG zY8}8ZuCh5s6^tKt=UHT$7C*ygRTnIL1Wz-|rf)Jinmtr@okUe@16qP%jv~hqm%QoR z(U}p%WM(eM6$J#5gVx(I;?&CXBde+QIDX%6 zl}+iN*rYTpTwSn+b$NT`zKM^e1A^JS#}#~G+4K)&xMFQf^^2wYV@0#w-h#>YWt=Z;S_GEKiSS7U50Llg**$ zsdb)}?80()_VKkRi!LYd8_?mHT=4{&9Zd3MP)Uc((6AFL8pL*ceU{C)$`p+QOn(wfkORFc(w4^su94bNdjK< zDBkZg4j}azh22U~&s~<0_)j3|?a;`#Q_Ej}sgi41DUjT`x$-!R;YSE;mBs$6|wlxi(wtE_FvR$cUJ5VIRsXc<@hWDET+ zTQg5tJNIJKIAGLxm;}>eD1E|8c}nj|sFgME8aC=We$%k|BIaH<6PP>Mbz|jihwp#b zLJQ~OiggD=L`Ke~Hxefy??-Za|`(Fy!n0Y z&MQv~PdCtVS2R6~d0&DSs99d&I|6DE5w>yx?b?gl{Jna76XVHl;n0b&u91j6Q~y*rZM8 zP+DWUp3ZTDc@=H8e7+gpg~c>e&{l=EU;|1-1J&FEua9<}M{l|=%tfgbVbm^);jMeR zKDmTBC(At>Vggdr4*vwf`7rD%aSBrHj<%`UAJYX`(kc&hj!zHU)H0yj&uR+#gma|6 zweSy&s2}8Z8RvOee&PTj>P5E19x&-U0BLA12tLNEFF$^-F9ghMZy|i@C2%S+P=crK zIJndkpcJAX#c%p6;NlN};;`PoZ-RG#OkMy?Lb}25)UM%Xp474?vRfP|GC9GG`i)z#CpF&CBUXql(zbexwU<0zCw zI%C939vo0WOWxn^PqV#dy3FJom$OApy9mV-!!}IO1Y3pA(P&Izs7hNjYqwr8UUEy6)nCjo%7l&@`IWK;qy_ zXxjg^k@lpHZl*FuPNl_(CfS;Ekt202al;%_MMH&ih6m*SSX z9i!jjak;o?;ZgC`O&s;;6B{vdhipKroM5E_ejpSLf&A^8f6>fG%BKq;q;tVT)0o#9 z;TV4QQg*dx#!izos08>HfkCeX+FMEq@V+^%W)v7!N0EfJhm|ochF4ppO`$KarHC13 z*a)jKVS1V0J)B5xnJyhyU}ChpARo?ZbdglNS7`39(i1p00CWK?6!ROvhD^^X1%~$* z<~i-hgM4W|^B6r-&{GtYMM}9!2MT`&vug*4MM`h&1j9Nla;4UAYPhaxx2|c;Un4** zY{C^+we!O~5B!3o&!ngSgrmRIA)0av&xE$VQaHF$Q13{D>EIUGDAHX7pt9UhH9}Wa zLUC0gd50#HTc>)bDuaU;ncbnsmO+~MrmPJx>!V;is&A4EYLPU$VrvI&{6={F_WizOEKN}~+_u|b z@x8$^=oluLqE0r`Wr|`n4XO;yAwq7}CpI-VC^3b2WaS6ny@jLP&R z!y+lkAERKizwa_i%#0^3Ssxv9iIBISue5dG$P<|9$d|;S~LbnkPl9<3kC#3 z@QTM@apey8=g%9#3GdQo2;+?&YWluJCTIyII{Q!)AI(`(<6>t&-7?i@e|Em|7p3`K zb-wao`N*o20m9qTDWtK{To4PCKcog77E1uQ`3qpvhp)OO$f7s6c@J>i&c#;9bFT}> zO+-e0E1dBWQzSmE#_C>)CkBqaOe|H&i5>LjoT?Q{PqGq{Q4)k2iKuc@k`khk5`-G6 zsB%}560*?}=6x({dF7e%aw54!I^m45;7CPNo{QJo8)vai_grcs&R);busoLzW@Ncc zC~81Q>FeMsqA9vRfdGOjgg-oBCPL}if0noe`01!NZE&vb_!4f#Z z86YvHFEL{5C2;ILfC3C(I0VEyKp~GWGjJ>^5Rw-FBOYHc;3xuM9C+YHNK$G3@ViAK zVXo=Q?bi@=CvcfQ+HY(*l#tNioRHqNnje(i%|2sh2*3mm0u=OH{jW?Kx7fxd;SVgZ<%=IpM;+}yCDL@p~ z=E#X@&pP;#8A*73S2B5X@#K;=7XNH=2+umWJG5rajNHF;Lh||G><%lq*t5jokY|qm z)1t9*`zrc*Ael*xEp=nEdMB}?<<@=w=!!JMIU>%D`5h`*^(&N9w8BQy4}@E;uY>4T z6*LCvRt&U8cvlIva~(vR#aHFetptd+N}om8eL57L@a`6+s|wI|kv}-1YYo(Pg6~V| zE-vjWF`l+yiGw3(P1Z~i8}zHzdQDMX{Vb8siMisbm(H?2j&OlqJa;isu1QIMCU~eJ zx$qW;?Jn>OMX&Jlz@)d#koRa4e)SrB*>eZ3@XwbWS7)~j5$}Ux0LoHw8%+S$kiN%5!d*vcOHbtfgFra9N^>y0UASdXlUeEDok z!aW=w)BGqx;Q)=Lhp&zIv`k^D{HSox_IR`ci?4rZVR)NFR>E>3!!{lk_-|N)r z=T^Z*+T4>fn%`DCV&B$QXzs=B>DHdSlk4L3tu8L!CN5s-0C2~^!Q!5sbI3@|z=6-U zo=?qK>!#LmVLM#hHVKhZh}abp;xb{s7Lh-vP_3kp3@(ugS7@*}qo*bi7xc&6Ms5!d zud;TDwPTU?$(`87!27@%&dyZ)-J!E6d9>9@Vl%g5{c4C7`GWBx*jc=!wX$6=|( zYg>*?P+FZ@lBvA)>vL?R$y5}?FPlb96@A-`A6 znxLD6=^ZETkPdmBy$iPV4OznxX5YP1I?^S-3A95(5Z!`YK?ZLt0`3vN6xx=o(kKZax%Uq`4iTIkU8ejIj$<$}8H+;p9@+rvTHU=Jgnq#~K;t z^O!Bkk(a&t<~lURFH8E@ z-RhGYiV=Ix{vk}ZS|jq59ylp%=mDP~F%Qa4l#F0x@+mx^+gY3?aIS;ti}ogMcL z^I0uAMIA|I!W41rYLns`Qk`YpI+L9Y=JcmN5Jy_+LG_|Er13?3qm>Z&kJJcZ?~sv{6P)?d#0jgAWUx z`FC|^>D%8g$QR=={xaHqO`745HPmPEs7?8+G)qs}gw6R~#`|P(ZwuA!6_jTO&`$OL z=8m>d-Cu=!b`bqHH{3>LsHI|mL+S3S{MA{>vxBl*Ybjle2Fz*Uo@I`hkqw}pp}i198pcvQQX0E*)@8$8-ONu!v`NTh zA(~o~vr!l2Ecw)Oy+5c49c#X|mBwzZaSu8oBH!*#eZSt!0|O0R`e46h&}hM!k(!n^ zN^&M%(hXFdsoqxy6zWwe_y5i^s+4QAuw<8-8oYW_KP1i#V=`_5p5$-6N)QUX;W)19 zpow`OEWNDSsztSlATG~li8$1MrM91ZzEwMh2i;QN9~Fn;(ssc&-`}g4q5X$(*HlE{}Mv8WM_F{#iVEGx2IRak&~2Ni4`&Jb?z%QZ{kB z8q!o!ApUvz_5?WY(>{Knerj)DFV@pvrLoP8H zt#snM)p$N!eFJbNQM2~$#Z8ao*V0#!fc2^~Sbs+qUi8d|%bA`tLv0r|VSL z%yd6}&Y7y2>UmC#+XlGdtw!$Gc}0@=yF5q@=ugrq@SI%ZPY-{@bYmZFo;yZ3Hp~sg zGzl2ZeQ;+|wA*TY((1y^L&t4hkLgSW{vQkIA)5m}%98zZCZL(dk@woq-OW-hAMHY- z{gP#dpV7}V0r2XR;<4k4DhnM30EE7gl|IR*xiz7IHwyBmC3gGupP#z0nY!<;IkaU0 zjms!&H3dseW>>b^_6@k3;kBu_E(0@lE%}17sr_LL^3fbzwQu=7ly5HL@MNoT&tbLd zo}-uJcCB&Fw{g2+Oc2rJvZ+ASfslMr`Dh9xc$ME+jR`>oVRdgLL+o!Z^3fHPp2WYg zS`&f-!|K}dhtxe?<>3K_QUqj@?PqbJ8V45OIQG+J4R6@vw z9OS9dR&e3=zdqOlL0{WLZ(T%p_pPM+hqV(!71@BXIps5iTb(5^CIs%E-UbqhGR{-~ zj%ztr|MPPHve+rd*-M||GHc`1Ii$U^p3j1dcMW<_3HxKnaRLsJ%xM8MW~UPkBm|?G zb?9RKN!h-gcl*XCXg{4BSmajKk2d*2$zy_T!ZzKh9&C&M>nx|FB)hjt{ z;UhJQ5%AKr$}pC~l~EYAr?tNEedtECp}Nw0*tzl-59mtU7a#xKP51@j>bmsg>v$!* ziNOA1lD%O}k&3__pLw*!Fo+WMk{Z)u5GqGWx!v!1Dpz(3@-LdsEY9z-+ezdQ?&q`r;9BIamx;P z?|m{IL_T}T$gd*+e2Qer$#Unt+695p>@uuSC0o1wzT>FvE7ME6o2OGefj^2~C!-!H zI;NrMN<$|2Jc8~{eBeSueq`#o=7KLKD!*&8#qO%gN^+>h5K z!7(^*cp*U;|F$CIjModO_J8cw)0l2>DB5LxRtat3Q6=GHZmaWfjZ*DxuspeLkb4$1 zk*kn&m|%Dq$EyTO_n~YbLkruHNtgE+XS_)f&z+iq%>uVw;4r02Rd5)~tGW*MZ77*R z7DmC6)ncPKr&r$i+W=@H^fQMS*Oq7EqCS3L3#MQaOK4DUSGs`~8P z++FM-M1XNqAj(to{r4#WJ|}-&OI@K-w9``e9y^6jOcc^j!=k{<%z$xn5BE+iIF#~* z{sKvW9AYh^RHzmG@s>=Og5;e%;tw&Lc_F54%O$B0GfFt3@$sH>AMrTU*onUc(C z+O+$`DTQhbbn@rB_5nA0K54gOJ4Hv9u6cTE)!?QbQgdjSv<*3wF;wh2&`2rPFIeQ~ zi=F*VVxUGPX8D5`hCD&hNj#UycTSI}5FS;gm z&9Nr+2lY}`p+pOMHMAh+Lld%VDPmXBv~6Irkpg0}MI%c_m;cy3$oUzvnd(&bGzw@h zk1dFw5g)`3>G9Kb%@O_G_)eULy)yc>v9fx3Qi*}1e$y(Ry`6VrEaN>jMWBc0?{%ZY z2j4#CY~zJ2=*}H)z44(Fl8m^M_`1**+DKjEP*Y+a`&+BK_HteWKY`|<6mR^%-Jpj0 zMQxDNJoB;!7`5Ht{En4|IKF-2O3l}g-2tXRSW0B+g$yi*6YM^q&490ZCqGu!Bu`mMP+V;s@q(>v z#olf$AS`(%z4Qn%tO>~<2yG$@d&S1v(Z>8G%{`v7a5I+qu&Zt-rw`EK`Fn!jcZH+2 z%x|)W^Iwci;y%xQ(7_`KFWHaK?DWN7qglX+HTW;zbF@whcPB?JXeSY~WEf`G;mdVw zKCkv=zg2&LG;ZoPD!0%0m?+p^#?K87q$W%9QyJcL}dS z*v7#^Pfe$div2@kQVAIeSp<+;S6UvlS(8KJX%`O zgMl@;D#jf<;8Ty7+wq$UawHmkq)+R?4=L;s>itq0_G%aadiIPo*}EI^Y{0n!f`7-4 z2UFZQLik$?+PGjVQu$RGrSppNat1j)iloIS4KeT2Yxwe&tC~m9`8o_LAB3K~J+4X7 zivENQlZJIZkxZ+*-}nByX~e?MZWQqe4 zAo0BE_u|Hx>H3}Vz2fRsl^wcQzNz~EV)InC3=b8=f|$9(=fAkR{SOF&j2gkV2s@yr zraPdv2)kN}FTcof5Wt3!R$Ke>cn z{-#(+PWTI#@~YGz(>TB}Bf2A&#@(@aNCqMQ^kdq`UPA#SY60D`Gv7!@N}-;?CfozY zWTb~0ySNMMs6wadl!r7p6`Guxi_ZdgJ6S_KSfAiy+E^gA((=XCSF46Lm;1(w0(QN? zK~5k|Ei^o8wvVyayI!Ho7h+D(?y%K|VRYcayi?H6q~@}1hy!rh@xWF*9oXQ5oCZ(i zon9OEL^Q(M z$?FX5&Z=ni_6$F1i^t85+j;a3Q^YWNkOzww8`wM4MbE#>g=$EcZ?nLRLbs1v5HYC3 z9HqM1U~DTv8qAgeerbJRp}b-0w*Uk}Tg305x(_9|{4jRS*Xb?K4+nQlI$_vP* zu&E_63|%CYHs^)VO(v;l^vVOJ1Y64Q<Rx;sK#~f{)GSonADhQ$p>W(WM(FpoG>5^q=Hwcx!;fU&jm%&^fq<^8S%iQSADP zWBl%-PWpP5v`HrwjpfRY&5G5k7@iROt=+iOEfjt8Ll0*5Ru;X4yRBnAH4wrm(=8x> zmiJ!sIoQ&-mm6MpYCs7LP7~P`wUZ2u-%FqM&PV+W&?x1)jM|G075qSPmwtF>-fV;^Dd&g}8%1zMGNL_jZ=r&u z)U#U%Re{H`|DEe0hLP!-gX;b-nXYoZEjDZ%pDe$&OQzH zZc?mpf%R=r6#j3PJSB2v9TZPL55|=7O%z7o!urz5zc|{~`p9M%E)~73U5`Gq=g`(Z z7zq5`()<#SboF)ZKmG3^?w!*|y_{WO6fsG$P&8PUnYkk$_7ZQq!6@Lz+qn9=Cen$Hf~7bZgQJ_&^6WW4KL0lM$UzvtPNUB1XkD}7jKs}1uH za%PGiUsI;oaX)#u@_jSiX+Xb9AA)|6O-%tcWQ>E2)P^^`uy9AO$-+b^G@H1X;f662 zM}fF1cbAsU`aEV%qpc7&2?}}7*F>CMQ@reS-;>@wtNrEXp!(+%YU^KrW~~`EBoQWvUog2=^b4r$x|8&>_?|KtV-2t z@pB@|c80bY!7t?;il1>3JV(lZK1n~l0}Ebj=in_E~AbWS5N_)?U_dtjf-qvF>%)1N!opQ)a){r!E8z7pB~fg58Q<9k^PP zDi<@Z^Yx zm8t=MB#i#i3ku8{1{@pVb%SJa1d~VL)FGsFa|Y;h_!%di=|V4W_DG`+E>2+9n>`_v z1XgQz*qVVsP}$l3tg|J63@x<^4~Yzal||^#X-QY5!|Tj;Cw?^`k1S7c3dfBIsd1<} zECZhp-P|-|>Qe&(4v%0vv`#B;z2#vLqUJpXm6dhLbPNyY#g7nebh5T;yLN}I_y0d2cr4BJ;KSDCXd+%=f>0-lt}`M1 zl-ZR;Yy|}KPIay{>R{1}-e50N{a5t*R%;otfBhld` zoP*mH(sJ==)kWLx8z=!)B+G9r71@Q|Et7lfGfXdql;3)`EXpz2lw*fRv&3sA^>}B) zY1yZ+u=Vo53iAx^~Epy z4xNrQ`@tg`5Ok4gifyX;1+9EJ=H3mf*zlIa9wmd&Q|UjDwW+c+!p7Kj4R0fs_o6tT zE7!irjQhaW&D^S%Hcfop2d}f-9Esp)Cne0@9!c_duiMTU%%yosTcou#qR6iRPK_>8 z>C3u6NVpz31@FSf?tt6^j<7l`=^p0StIxs4ZIwM1*jLHG=~w_@vw6dQ3O@rUp*(m~VU%+UFE zDjAV~J}=?uN3^_^B0&U6nUOALEW!<{L3}~psX+`x#iJPQcA^lVZSiS|!pDbb4t&2) z!tXMjSwYwLnxnF{LC+7}Ng}RMl`WL!3@?F+RpjdGZV~hsy4DMxFPsEZ33)WA@$`I6 zlnIq}o3o*N?)Vj7t#NQMYWD+#(WgrFEW6JKijvaf?X;%$ZQamE)h9lo5bWXk;{>Ud z6chXEpdn{HzTu<172~fE$_Kv_)LWECNrRHO4JhD~)zM8rx#r98Wl#FB4?Eaip)zX@*ZpUIe><^wDH;oRB3%=3#m zcJ_U5Y~QqD(6n}dX)Ccl%@RZ{c~YJ@81O=WB-oaTvJ+@Tr1UHOGgMcgYNre4aI6MStc69ddB9?6bmucnp z>~(V}-aq;#{HA(8^K%}te~p9cnioL^jWjqqgkKV1)TT;Ffw|f0%CIyMFy1<4weF0J z)&>x-sc4y!WzIxv_GbskDVTgz*jANYW z*F)3)#%^SpdRu8z)l}szRT5ROzs#}WTl3F~YFz^6X z42{Ioy64BE(HGk_4zh%}5x>JJKn+BzHGyN?br@M>*tCjcUs2ew`lnhrxFHj|Ga0b& z9cE7%W?6hwe=6!^SH-KCFG$ZoWF@S#BQ;z#BnC2#1ks`iEvf>C#V&$^q^%JIjJav+ z8w`^F1skZw|DQRkvJN1|G=5iJyd{fjvHfM!)8_b}PI7?W@;Bp< zN2?PaZpZA6lBIow^|Ia!+L)To@l@-nhg~F=XReM%F6so18FKci*C$os>|`QJO^X6c zKZG?;GSeX=U%aNcFFVFKq6(P>Y6#gJ_;{qvATRcCGoDfJ32*l7!+7iCk?`*8QkR|h z!$dTf7(k9;53Q({3+7!3PwoJ5(>-1CBt`hg7mu~923z5i(?pG>$cxZ(wxe5|DUX@t zBN=(4En4C;kcOUFZ`xxGmu<~)wL46iYXzS zhyee-73;BLY`1&c2~9fC4)8;-e^(qds;Us|=NmGQPx2cg_v#6**HWyi+^rINcYUAG z*0vLFSwz=N*meR(k4iuE-W=7B2YhP5aqrL!H_71b1Ze(i2Dasyyqvkb?$eN9s=&|U zgDftb2!8=Q^TG%0Cnk~gYv|wNTi{(~39n=>ag41(gY)L6?I+U7&{1a0l=xqLNMH6K z)t&vb3lYzagAjBST?1RvaNX5D4*Ax&=P%b(Genvr(; zj%2=TxB3z_UIECUUQF0KxZgb<Hv58;Bg1RX+z@Bxp&7n_90@{bXcs)xMzY1nV%Y@tZH z<28|$cWSs(KhDxfT-?oxTZmt4UkHbNf$&;(!x;h7jE7{I=^6 zy#uBIABA;(n9(3Ka;k$nXGU_*pur?t-7plk9&qkCk&PPxpt}bfYiKS?35{F#&KQiC!gac%Gh!x*RBi7(g)8rDmrZ%pp} zXqOJEH}5?5o2_M3I(|NjMEnb!!oSKlNe7{l>Nbjb+^L=5%)k;O!@RJvmAdBck5;N- zNYukef4mG$!@OjvA>&A2=`$MQXqjYDjR7`6GpG*e0y#OwV8E2<%Ml%0IJNmn7s1q; zc-6$UW=<@unv}F|i4drde3Gq;)^~;RRc*fTSH!{wFqW!tUwCVSX8WMh{RZ};8?pH0 z`1`g9EB$*&6jQr??JdQdH!8iZp08nR%E#XbSEUBbykd{R9I^BgWbQTGVb65Z<&hi8 zB{Q0Lsw}%PbR&qYV#2DCD9++{etm<^ICsQ^B%GsZiU-9{blV%2k_E!f=`*H*ZVk+6yrC{BB zWBSQrOf^5S`m{6_(4F+|^k+Hw!XryM4OFH5yeazn$i0l$G@T}=h?xboN{S*_M9a&j z53)jE;3`E?Ug}f(h(B5};@BI{H-kC<$r^;VQ0_l=oGG5mVZ}}?wR|8aZ~Ar!cWirb zY*3yXahZ&CMQZWrx7SvCMkbLi8*mlw-H!OlrNV7SWg>|hm)@y#1$``mo6elYuyw_v zWgD#yjk`I1ir8{=We6^%B&VVJT;Qr8LMaLJ@U3Pm9`Gzc`s&-fKYO+jkP7Ai*wG|! z)@K!)9XxKEFZv~IUa5rg_rsb06440$e)=_OiN}AUWth5WLfJ*99aK_2A2TT{F!o(F zK_6kp5D@qBDh8e+OKJF=Udra`GU{wQSu$7fTSkbsOy;~HdS+_mFAq_ujP*8&+W11y zoq6ApI(=8e_ILnZp|rLy9~0~2HR!hN=$|N!v}vId8Ijj~P~)qq%X%;~)+>W-k(f9* z$a=kEgRzLe2m32U627V$-~>;_s1} zL##17ZQ~>~D|U!S9IyE^J`mY)NJ zM<&7!o9XU=3jM+f7krh_g-t`N{iF}&$lpW1 z+3a%T?}m++POSu_p=mlc~ZmjuwMOd{4q3 zZXO)^uUD4b+qtCvQ!dA8(ob`dx?es#Y<+jyuGT-nA`!rE9VI~Sx&voW3|h#Ql(ygF zcTdg^8ZP+<*YdWF_HczDHDIY~IEE}F7u3Qvumw#NYmTXFi4Xs@W555tAf&jc=}rW@ zs!NF>e}hf(to~|(R3ro{6T3j~_6}u*I-?%bvo)4ejutWTr$dSBO_ODm_NF9=iLh5T zkvOY{zjVdxlW^o9OZ|a>4n&zi888(dX{1hHwN~{&nY=g`v`FQT#bNTF?zV495RElK zGRFG-tu#S32c^Fi`p=E6|38DYV?uNRKQUz zg)%%KBPnH>1E_KcDq7*JjN%w`ajcb704ZfkxLjjwGt@q*gas3hy;_9QqH_p7psYns zUR2M*!nQO)9q%rPYXCZC!WX}Wt&M}dHfkcMur6f1VPY6W3p?s*@Ty5t1K&H+rSTqLTjiJ+fpSk2T!c`z(+Sg&{kIz=9ugk?};2@a(dGx0|{uK zV1LE5044K7MiqNWuW4R!ojDCGw zJnV6BLS!*di=j(4Vvtfs5ur&oLb5T^7_s+={oP?A))u#iBnXSGNJ;_vg3Xu@2wkTs zVTgL{pKon^98^nU)VRb04YakaflwwIXeQ`NsdQXMutoJG&4sO4HGp1%K)x(LL(O$|^`!3;Cj10#g z874)NQ-KY<6r*Qj)Hpt?S-A+$U#uP>Hr`A8UYu{mRm7+Wv(Bs~8u!?!o&*9*+_WVc zHgTed5MybqVt+oz*g^Q&J#hpe*lw-1HlGA2GE23B+Kpd3#b!b3IRUpSsoU;BXSr(? zYJ45@-K!5KUP0eypE9?#ppLs(PGeIY!4iaOM7F-DP(EE7J0KFY_tv$f(c&=C`p3gE zoV_xu#a|{d342>SnX*+7mux*}+!ZRuFU!$Vnan`KZG5$CATykbPo z)->OMF-qdE)6thOEJDcI=y5)N+KB9KOh2ta%uTD1PbIGTryl%J>rXrQA(4W1z%MS( zpTD=wVK9FVX>>z!EdJVC+pVRc6!>Ei#uI&`uEy$AC;!}B0Gvz#kV7(J3W;$PV)M->~LSKBjosmOr zTx$6_OZ2HGwGS*7@>Pnn?09m7e_hiAow`2h+NTIiIGSVqg15;%KW4Z8h!5+73A5gz zRxmfn|I?xKZ&!=LB$Qvm8WOoM^QVx|$s+Q95A)#8P$QZ`71Y#SD+fGOM8#8rQN@{^ zRL-3hvm}kwfr&|o`+BYA3LkJ1V=gmw@G_dDEiPBGo&eM`%`K?^9fjxE4b}$~HQ;jn z1}9dxnFr30(JWb0MUS{uoPSP5>FYIDVV6!KpRyTzzU!5Y1--Vk%9o@EVdpMFHDpt( zCPO{=DZB~D{pGoe6PLY8N#LZ0aG-W9A)E@T!hO}T7v#d-SQ_nrj ztY5`iC9ANhe}&TBkl&!0r+f%dh*%I6+8~|>SGVOE5#Rb@QEkm=0Xb%cJ^Wu8&rg-! zwI5ZT@Wq~XiNJj)Nk#toM}|GS3rt_rJO`mnkjYHfzA71$ha-mdC_2m>RcM=7hN0dZs z9hQSuq zLj0jz!3>6V<3@};bv!D?dVf_c%X)uJ?5{OynivmVh3pE8J*fv@kLtdAZdxP?ng_nj zV)n9FEut5e2bk8pYniw*@>$ozM@T=kT*332bV zQx@eME&1sG(D@vUURfTjw&N}#bm*PuJ*tA#)BB>G8s{H)t32}?@bPxI@fB96>o?a~ z8;8ey+0IR}7$&W-#Qs;}j(#zxgERd{#BRL|Oy>mtD-v1C zIsoB+CGgI5=~;rC;_X$wF1XD4kiRxQEi(L-9!8D#>%LK!P`}H%BUNq)b#y}A`)yhD z4SksAY5}EOEzI8>nps#tSvm z#;fz}OFQy?WZ}G#SesqVef0%Zwf=n0NBLnEj$wx5*U?c`yQVz5Cv%e(Aw(v+LY=xT zd!yPJhk#2qCQMeiLcOwtGn%N)=g{|%b%*DT=Tok2QnpV>uT$nlMjtGd#GM5@tR|UR zR`3QcFFD05*USgQiPq=>Z>+04b-q3F8WDiQaXdc`Y- z|C%>94s9z?N~KW>{<`QIq%*EKlj{6DqpwbN_B?7t*rz$;_D>!2wQ!v((}3#iU1-%l zABB#b4GX0-+b zEXIZNy_yT@$qlXxD$6^v&>vWf4u8T!(>KZAb&?;rJ>x;!nBt=5a}nFM^)9vBb*g1A zQ-8tUv=iI)915FQF@G*(XTQ4XyZ6uEAsY&@t(~z;E6aV;+X_L*pqy!rN63Bz_F#oF zcl_0G%&yv%6bFmq=Df+~Q{|9*AALKS|sGs^C9WT2EF;L%GslcP;4Wb9VRRlQ>C zxw5qHnLktEz)ABE=smHvADKT-Vp(A)`dEB#{!~oh4VTRIHb&6<_t-se3T+W9RJ;AJ z#?4ydrpA`bLng)(UCpFM)v(yW!Kc&dqw4!os$BhBY`E_|7KQl0z$dj&SC#)H?FbCl z7%3Y2pSEo3tnV-ai-|w}mf2K|iw$VWi$2A7VmPG;>v2%GUVU4>6MEJeULP{uDmz5^ zp<>_k1?bv?puRYXwAspz3TOSa^F8(y6qhK-dpcL&1BM;_}-~bS3rY>+h`9* zsIHVOZv&U_M|Hh@F*-GLXguyZ)ac2pUT0@*Y*Gs*Zq=ricmO9)Z!)u{9$S+*oz{S- z$M3$UfB`MvyJcVetx^+^YD{XJjq4Nr^MN{)!TA%5}VNk>4lxG4F6qW;RYGA zBzM1d$k*9Wl!JVn7$<;W*0gz+&FerqA1`KCjN5=TjF0A&PRa7CxB$rme<$fG;@! z!ZSPYE7Ru&*dsxNEQ0=r<=bZJiEem{(ww5BZq9{n-eu5(;u)?p)0Q*)7Utu|qm#Yo z>efgC!j`l`KixRFiKptpT3XxDIL@VEQSN2lnLluuDbDozpV!l)pxaB1(Gwx|Nb6G0VPDd?B&eDX^Glq<5aWCG=n>JY zP?V}u$VrkalO=qH&kXVOWE!C&Mx3G1t3(l{F~|c4VB%0|^>~Sr=n99K+5@F}b`RhT zPqacvw^`+&(OtbGkq*3-~O`1ibK5R((u6g zhf59A9XJrk33djH)#*+4!h-t(Tk++kC8h=St>1G2finddNgm&%J=md>;0DFr9 z*;b3TV_$@}x|6k1Qq>v0YpuZmz?xGLn)xdi9v=WYDypG1v9n8Ef807fJ7iJ zQoaV}r8~C7O#@T35Jf6)x2{=;Z>pfvEeT7sP#qc*%wr6Znc!W3z<`(l{#R$20BuLU z#Gq2A3<@$c0F|@15%F9g@Pd9cm=Bd>1!0j4i6rIGwqYY~j?w`;>5nklSyVwRqXc{}P{v zA#3hShKC0CtBu)8MdC7Zn^Xe_Ff^92yhMn)MkO0VHjlpZKQ5^zVp|c4p>Oa{aff;( zB5H(a#OfZKc7SqnKu4636B6kXwLjT4w2<9BaDd(IJ4T4&Y6I(}U0A*g!SXv@g@$O2 z(!DT@vqw}~wQDMk@`Lyql?4hTV5fYKVPSS;VH!VJ#DXtV625^l?zKCc;R$DB%z^?F z4sT_V-AyEimqqEC&& z-&ZER2J#u606Jr$M&6CtUW3rXK|f1j0E7D0-^tL79T&50mL%=G6r=4peEc?~jEhwP zN3guiWHWDc8)Bj)Q^&<(0+FPrLZBk1g1oI{&4Q;Y5#1SS^q4z z839f_NZiV?JCWhZ&Ueer{l-W54H!CJf&y&tuKAwIPR1tSe6mtM4AND(Gg0j~9s4t` zA2W!TjUW=Fe7MK;iLxz1Ww7%cuWpZ-@%$Vo`%YHy5+~A5_{yKmctpK6O_*#DthGMo zC>t;0g|UDbb4tF+6)^SnbEGFkQ|e%z=U~X1%a%bbJ$WzXV4g`RQG_RBe6LH9y~7NV zYXH*SH6UdMEsNv+eQpnm*IpjI^S*sRT@n@Phy_mnCD!R^euqS!i*MsNs2M`qB<8I+3{fN!#&&N7#X3pH^ApgXv56C9)bt^ z>KK;ySP;W)IzJ0Fd+-PyR`*yis}n!lr3qq3IY)024&SM2$UPf^_tO5Aul-1n-7`an zj=6p`;B!}y-9t(5##~RQ)tkG+hZn)U{*Ct-J|>OIke1H5lfB=W^!r zMDrnK=p|pgZ6)7(NAta!B0dHL(_{Kg-EZ0M6XgFTrjfeb$ z?zqgB#(}74857z%th*)8@WxB+(S>+*LqyS1(h+5%xT=xp>}jutWTy6{G4Lp~l~Q|R zc138Q;LbuvP+`&Tcj&Hkn*O|_9GVCYN0a=UkU0+P(3lZIK!wI;M#==GRFzzjLR6YW ziwvxuAu^!K-Y4Ybg%S`|gxag(H#ob046L#z=9#$NV3HK8Anq^(rl8`Ie_>_>2K_gm ztW$13TSG*c8Q7AT3vQHjxV)af*Ig5x1=3G-VnKteWl$YrhEXS&=4As^=^>|0DfVVR zWiL}^B=~0IKMD3^+d5f_gHL|a*kY-F5*@H1-4i)U-~uuD4zF%8v1kq=(C?Z?Fhl9o za$*5)|9-_0wR8L>4!*_&-^CvNePGE*X-ee=2TlgNQ}ZJMnL<}p@Vg=~0d4Df!tDb>t0AT9JdnmE1s|$n zw`yTBu`p!o<$r`y%LWuh2EU-W>rnm0nBeC4mxJDr>S-*8=HXq8um#&3`FURbc$Y0? z+zjLw1*R!4L#UWk7z3e*RhR>ph86l=t7-kTl7yzs7efF%kvl%1Q6|_)&{It^B5^y6*beuT> z7yY}S(T3WPX=5MP3P^`FGVPc_lTx?n(r6rMuZp8kLn^yc(m^n|(%8qhXssIL_ z=rs)6@SUe~BvlK$!Usqgs^(FDH(fa2>O9EyRqEbR=t=|AR_kEPiU~JK*d@&ljc}o+ zi-@H?yN;hxZ*EO6G%M7lNCt_lATWZ>J!yj5QQ#~_fubbq#uJ3sre%;{?DyUvX3 z77xg7=?z$xfaVRUK^*JmJ|(F3Igdav?sXF~qePgp7Wxf?K5R9S1)6nh-riZn%bIbG zo1PBz{$0e&I@3Ql}&``!0QsUpapUsQy{2KbzZep@DQB&uFN({BfZ{vZ#+0lJYs~yvN_PL1x{Xb z1e9+g?>HPgtL8g3`9CKp|17{vt#*R)nUNViz)mqsxSn16Cq}C8UgsssY-g8KmZ$EJ z(Llo(E*py?J=gx+Gtq(WqXB0T7TYdsq)(c-PP>raK1&K0Wqe=p*ZAtgLGNGla+|}J z+}2(MYqt(*f-}$i+ra!jKuvs&XNi_2(cPxTw7J*kxv2kZi#-R6ga_UapC-H;!Aie< zCFmo+C0d=s`u9CntB`h7y_h8pwJ2IwXW^;NCXPWsnZiyFVbuZtGV)@R!Y zW>**9%P>TUsM-tdLup)SI;3Pf6iReb@ZoGY3L? zU=8JYM(G9~(x+CXJ=*Vp5iVRKkunx%O1rDDSzz<=6+}OA7dW>1yET!<7g2Z*&GCp} zYuZ_9f%1d7#%^U+L_JUIpeIaw0OCOjold*j$whVnx8R^JLuC~+53O4fSYIPT6W9W* zw-mWBFi?Z82rh*GOQHlg2Nxg?Qk$qVrK}g#QmzQ@>6bV) zZ$M)y8a43@7WVu;Z^#!E7mLa{auqv`7}#KeV=e(ttE@_f8(SJzywEuPPpIrL#)vzm z#nS7|eJshM*S|30NIlBJB0Wu`Ip=OUNE$^t$pv?g69?i?vB3Km;iqet|r zAVmxy%wx3-&zUYUOM_MXqQJ4(xY+crd=m>^ZcW~|!=hl4u}SJw{BT^c?U;pXU{5Ox zu}w&Q)o1UpWW&iKNiUcDveI!~cX!R8gzJ#L3_I~y%g*U&cOdibejaZj5wiFOpNm(@ zKCJjAYdTJ^FNM=0VKROWMSMz8mCI7j^68ngRLd-d3VLM&n}d=SmFLTHC#C-N-lIV5 z%!`9pamX-ovV0CZzqnT;GpOjQMBF-KvPYTrV8EQGzUZQO$&FR;=;I{JqxoqTO~hk2 zkki6ex4D2-^{qK(vQoKx=gLXnTy9M^X3mF8TwZz({<3QB<-T!#ToK}Um0Xm-H`JNo zw>5u9QAt?qx&&4~HoP`3Zf0kG7A9OPp_KIeTZ+XztIq$>_KsneEZw?jvCFpYS+E8Ie#q1{(? z*?=<{z(h_gf?hRL$eQo254Ad_XQ@gwMyXwNFr65`PzL=i!*P(UVi91gW~;XC;u%yC zn}tVP$nTJd;K&eVL7R#&W%G*4C}w@I;O?>^C^<{Ep!}3DKTDv>2&X#O?XZe4+pD#Y zVd!GX;8!Ear;D)Ni%S`rLF))Rp4K?VaVOWoJ433>ksxqz)vw~Xmpu7la`udzn#>px z$ES{^j-!iT`Zt}H#tC*orBY6J{m~S`&ZYU(LKnKYG32Sw*oApHlpOx2o>FY^ws}VT zzDjD%eW9dT7LVMr^NGxE8$m7H=*9lPyxT~xO04SL?u~%Qa5>seIB-`6`B#W+E;WO; z898TsXB@j(O1&mMIZBPHztQN(qjMsDhgP5Uf|4$_nL#6(7tT9JBHLSd7KHlX>#Z>7!l;8T;PyBgGopJRKFMAj-?g%%nRYOSD>72AY99ICSW;5b z;gUPZEIj%lh8Qep4@LM`B(WNCXUp~mQRn=CRxbn&F`xB-uOcjl2e_0O$5C;x%ZNQz zlm;IxxHfJ3)d8=U1gaCR2WwFIk%Wu-?GJkhK5V?v#(Ct#Wyj9qTIB1hPhyJ;mMFWl z3nQQK0IXMo3dK}d54ILpABW?N#*i5GRG2nSt#2dGPN0mEtd5u0+MtZ$Lo)}&93j8a z9L$@T7BmWLkgrXw(3wHY6@O#ZF$7!uZlr_kO3x`P-B1P7i-sSDcz^B%>x72OZ z>a{&_Jx0361+5i(3x$!0?ojJ}wV=#`U_a#njTL8g)LW+CkyqphRc@8gW!%ZJFw9!3 zMz5pkHh!(tqu80I5#xR3gl-c|{$pg1ek}w$TT{;oRURU3j2 zpJGskhVDQOw35|7;C*$2a;N>+p?+!oVGV8aSA4mmJG2L1MtJFcHds!W*1L$73kB$K%-W(L@$wY(T>6K#j71??FmGJ6K!-Q?&8KuT$;wV!E%;2gim75&xTrMNW6iEW^Y8-2n*g>%*ZueE0&^LxoD8 z{-_SRHF}Mtlbn)Ej3=fvg|S|GHgj~|mopx58Cm72kmtCw>vjya&>ob9-ENZ}s=br( z`3v{U5LIIq6Va?FaxkoEMO1rGV3%PDMpp^~3!0_rVb4MO985ALArZ|HiV3xW{md*!>Xk{`;w9?mJVyZo7to}Uy3NVTl;6c61#T|An5#B|do$2=3Cjl1 zH<4bu9&nELFBB$@idNTKY0yPQJ`S-j3(5_8D(1( zrYWQ-)w}3`cs(qYu1J?IpUt4qyIjUNl**|dU;IfX{JqF-5F#?fPp5t;rgT`g)j z60g#IY&@KVjJ^IUo)u$su~^erJQY38pFT;7Ro|bn5~J1HS#p*aX4cAX>t>hAitN%1 zRfald`3k$WFcb?VhRPHxZ_AmrV637PAs4VKVOuXZU@JW?*MOZH#|) z_H#Een@o|l8zhDgeoFRA4X7t*n}ml^;v^_f_WBe+lT|I(Z3=^-ZrmBU?t!PACVE?c z8^2(0KcYf0UA?J0P{~yMUSOlcR)$5z`$`IVA)EDW0%Mi?ED%LvA$OVl20FxmG&cqE zcj6%oK`fP$)WILhejYJBlnC%RlD!+Lela#N0Xl19s2AZYe~@P} z89wBIb0Ta{h(3@FArQiBa3k(KQIN3@)K_K@+H2tQDu{d7WC&jgS7$-=AV?1Vm{FH{ zE3mVZ2-+Y#uZEF6>XJ5~$LHY}(3?8-1o@eaEo3gv!*0LqwpLwQ6C;0&fs3k*Y+P1W>cnp_xYO;~0cK%Yj#S>BQLLSA?g)wS54oG(d!|J%k zIQt<^b*y0^CMHIA{iwm#O!lXQjfOac0L+u4%6^oMC_|KUJxRB*eCPBV=r&=jv^FC^ z3$rTmr`D7(1_e1SR?d|c{);)rrj9{Hitlrsh&2nF13+~icD8+HA*C`yIT%;SYb>~| z&;?o}Ci6S3jb_rj+0dzaaML!dR~mx#&Na;cq_$7q3&R!IhqIRMU(|Y-7!RfE%I^uG zhg@;4eldl6cP{w-T;~OABN-yEGcUh0O{WUkCf@So6g}G^ijSV>RV4my8Dx0wo(GYC$Av;3d|PDXyUHORKdopXF}*pU>LRv)~uh0 z>ry?5Tz5q&F*IuW-Mu7kY|UD^B^|a(KWfy#5x$?gt-uvb{?+X9+^IC88OsyI4@RTh zhx)uK-*Lo^^1i6C8-zKVNF60uf5)9RBqP|6G94`2e3|{+ij?>u>AYQiu(>mPNKc@+ z>)aHq*>!~Rc*ROhe5_k1bYC@Cd+~HU>9`8Ibx^1y=?N#1-H1aQCGz-Gyl4!l<&-3P{>A6Sj=+PXtIZ}_+c>VOG_kUnu}^c zkc=IOKr1LuVYr=pJSFY<}0?koWjU<6d+x-%8*jpdx z7b*!%lJcd3mX0vOV&)Hl*Ze6etDh5Ck8k`cSx54KlqF*5eAvr1HZ}6Xa+ua#%H+8j zFFc_5tOGMS@6h)(jt`qkgoO(h(|;Vn?wa3cd#SMU-Zq2mfG($=GTAY+Q~52l|7axm zTc~rq8kC&y>&s3)%*BUv2Bf~2V+Je(MZYpb@WxpTDkx>}S!?3C>UeZ3^6sq@O%#$W z>d)_rAgA0fZV3*B?da?v{~VZw{h_@`9zKIF&MA~AW}u`JtYrB3DgMGi`rh(06cFW3 z5#C#5pY;JZZ7cT7Pj^Lz3-$B+CRcuSO{E*k?vr$PtOY&ny7U*Qs0jY1fJ!bxQxFMAfS@E5a7BN*Ubv^Iw-_D zapE9ZfeSniu+6ztr$e48sXAeA1sj_sG2;Qbvo=!vx%luGKe{>ZJ13wND2p;wOEP+y zbfoBjvqc-xZNIC@7!xbQS(;d=88BGk$S*RYSDYy!@-J(D6N}mt;eE#WpROsNjzq{w z4+e7l1MR>2lY5w$nw@9E1W>4}epc$4pQHoWNQ~e|4`lmMnb2P8H*0xG$octMN6F_| z9aG5BQqtw9goyDHk^31K&Pnsah%+N#srSCohVi!LlZNqzMG!ND!CiiSEWb=~KTLJI zUU@&fKAh1n!Y6BQS9ct3Xt!2eUTsu(ac=sJT)6W)*eu&VZGGN%#$5OroZv*d^MAA$ zdtVAT9_{%~ntg1o%Wd>{j9g6XcU?VpUUi%|453L+w|#uBpGLd+Hf&XJYCXtR`VCw> zF>ZOee|-KmDRj0h^t*BK9-(jDep;yxwX3@FnYwV_(6XOsD%Fmb`?%~p^_JVv%k4aZ zz?eyEx3M~H7*+E%T;Aom=xp99o0b7K7MGr84=pYDKAgPH@ypw{NM#-XKJ=ZPyu3>I z*V8kq+)th1LSrT`GNunCd?k(DIu^4m*-F{Xm+=qT&XlYFnl!Q|Uofj~ds_I}30BNl zv8_e_J&@^Uo;7?Weh|6xkU2|b;>DB;@4B(y zX4NE1j7@iQc*@@lr3pISvXGIJm7i)VyX<_NCg}X^6$WnlMy?a4sZy5f=LRD&JR$^9;+KH&UowK@`SEbp&vuPvO+-NnuWS>NKWd&y>r zVP4bI8*z&MT%g69kiXaz(Qt`Nefp~Na%Fcj6p?5gH}kgqtYz(D)ojUTwMYGmx6ava zJHLB@IYYBKBYO1FW(m~MOUL&)V{_8@Zh7Kj`*&uCq%%+D{<)2L$9!6Pu`wAQzQ!Lf z{6qH!wW7b7IT@Btpv#?*3bE;};t4^tY%DMtIrfYUXaV*6c|RiOM1^>PyWm zUnP{8U4pl+Uzo1NC&LRim8&N+!X2;IlbWADUk034c&*#hM-g2c(>YA9CbdkL^NrPs zhF=nz|HPcTjK%0b#_}9*RZ%6#Q7J8%QsP}yrJ8noT-9v8{f!y>K0n5z+M?`C$=k7N zDL~e)v&WV_&q`3?gqAJF8hf$Y>CZXWr0Evu%A+~oI&1uN$?Nd2<;f7xv1sB}hF5K7 z-IBRn(4~0_HLpBbHQcBfyWaVQ{g42l;>Bxp%dwJ~+bx0{+5&gMZN*!VG^e66&(w6! zA!Wa#o^PxaZRF2>*!bS@O-PTJ-#Rv3!rH5XHSvNL$7QK`eHAB1x6-VX>65s0X zgq?rYZjL*EK#M+!Et?=C{p4I^At6wU9$m~_)y;FVVr62ggX7TPExoEv&D@F7+EJ~Z zJzJ`J$)$5X?NsQupPhP%e13=R>9XeXwAaIyXeZL;*p#PLU-`L-oosW%53;k4P085F zt95=!Dk5DB^OD9X7G7@YP*+1kKN4OR=T5u4iK<)W?D8oWH?z4R+uiFae(GA;P!|^K z1UJ^soQVY_7o;b2_TGCFwaoPL^JBJ-^r_vPF$U8~np65}MAtO%&3*nw7&FDQIhbr+ zy%MeYM1+nOqPVen8rJzsg~jqfD4EUDa_h+n6Zed&jjB4b(B@DX^J*6E&10DjY8i^2_BBgez+vbJ+J({l2_u_`~@KG>bpn~l9s7RGBfc+D~{ z%1gOoJwD$0oHX&yW(c&)4SiqBAK(-kwM^6p&dsE-7C6dXR2pim4{-jB zAZ`jJpI_r7dB$%78t_04FD4kSl9F|QG`yL)*=CM<^|KvbYi8>nyO|V%TP@RcD4S$n zC3#DQUV>&<@#7}acho7QY>4r=FqrbXG*ISd=S$O=W^_)#Z`R@|Po7U$#&wInHTX$g zFeU1W9~*J4XN)rNCI}PGvHLghi>n9Vblec}@VQ@KqjUsJnIObxAFe`%Fr3Xl40yW} zt%%CXsqc=KtI?fdt`3p$60hpkdpqamFQwVG;<>D6PvKru_F&29G#@_X3ee^M4)wcP z3nfv+lYh*KC%<|-*G%31WoY>E%8Qw5$E&#A?6JK^ANjQ9_TBgM(mTg}@3B;HUjVnR z`OMjULviG^`vI-hRCMUgzVl)3&RSFP!DKuoQ_&*9hPQChYulXYwPD`#VS`>Xlc=-Y zv5EVm3|(!0;+kgv_iF@_&qLJObGe4RJkHm>cjLp&O(Nx-^PE@8S8y!Zm&sNShu%0U zRdnf**=W7pZ4sb7*o}vCQ(NA{SyNExjD1C9)iYj4L`r}Lkc*!p4>MjGVp{P`v;XyJ zT4j$zM3l>Qn3Z^T^8AcRo^W$hoxvrGRw|!0ww@)xJT-<>k(ED|-gQH>U+eJ!Res#q zE_@Ta2LDyoqr+c=z&qWuG(1wj5lG)?+d>*|>xO$juPP`i&5QOb>f#Dki8OQz01$;7 zu3Cg~evxndkYDF%aT#f(lq)Et(>+);f7LA_xFXHxD1JTUKRO7{n0Fp-`=L!O>NTQf z3!*wJxg={YwU-U*8-U2~6+Dj zcpX<=x|N};k;C?ZUW(Rb*M9|3O~TVhQ!nT6qYh1|nn(Du*e%ep70o8xJ@DqxHISwi ztt;MMwginW^cbRan5VD2PY$H{6rw$K4W^|dd#A~RYNew~ZpMwbPkZW-SJ*gAj*0LL zV*kHW$+`3P;oQhMyx#H%k6%Dsd9*FV;IfLk1k%^b)lsa#^_#9%wiW zQ98uaXZhdPoO0sr8`#UQZLTCynAQYj`4ZTgVE!e#rvnb=(EG- z734q^>{Qf4AZt)Jh}9yT6Js-0*8XE)ZRAs+bIK}QSN$%d?(tJ#If+-Fs8RP0NuM52 zd5}Qm!A-e(_mS;&?`)Vpf(;GcwPX40#m~VF&%#jxKlHO@g}DZX8&Cykxdv`XUqBqS zXYagwP;uGU$vIpuHCots9ND@PYIi*>p|x&$*9rY~3CXvhO_mD&^KE2lKzwN2p7bq);KxqH}j@#^roxX(zc6!GfJ z-gXQyF(21PyN1>xxIvYvy8r23xV+|S<@NFH;^nhDzMOIF9GIf{CpSh{xhmc)v~xs- z%1a=Po4cs3yys!=q0-;Z5Yebmh`$zMTsU6)r zH#d3?CqT-v%vS`@o&pU^$fu$o0-gVxa+!|qJd`WQ#PM@TJ(u0O*hF(YsHk3(MsnOH|jsOndU`n_wy&fCE}$;D1AGv}0@oY{8iUvtrXB z?%s*+%+_P_Y??LJnc?4fIP8MfeA4?h$ioB5uCOCio!JvV{PwK_;sL@1wbZ`I_wCzP zA;-Y)9)9xO#tII<_QyD?G{Sx!0^9fhlyS{Ef}Y1q!1oE)ZCffizN4JCteD&U%8!^i zaIy{p(>EucF}K=6gYHx6@e^uw3rWv{b;TO&mcp$12NSPxEuPWfjqfQn@p4Wfe!oJ% z=k76EIMQ8`lIZ^u9QKFTJEP7kT3vJUK5K@!e7n_In#F13>rCWOETW#4|&l@)+$LJb;Q`2OP)y%&CcIweb#4|WZZl7roDP9U7Bad z>f}|<<-`ARu>ofxI1iYR{7vjHtKsLN@wry#6JxtAX~sX+a<%%mCp9fouOpd*zqQ3Z zA>%n;!XnNg1to*rg_qfTQm#SXfus+-044`a<~q$FFtiVqk3MBbI1fS|mcruV99j9s zC(LqMXmYBV-CN})gMX|R2d=~n5LIQS!nYjNg1B(br`;%-TDw~Kkx_48HQfKlN@B=) z(jro5(gI6AMwNP3D@))rEj)3W|70eSGMDs0N@Az0$ai}x>NzC`v{r7bS$$(qy2G`y zRn*3>GvkWzvP$E~AvZYG0F{p-F$boybb@HJ)Bnnl)5bT?mNDC0;0SHn8^zl=O@KT7dQho~n^ifmXe;rB>-0lxJ z1+G)tjTrCS%UNdJMLD0cJ@c6F$;k4Fp`m4Xlu=IY4KAB{+a3+3XLy8BQnKAB$YoVh zPN||DRfIb)4z^qE>9F5G|Bv99lffk~n^j6VrHpn|9)7nl_+qu^!|vFV!KE*oRZH1Y z9&T%8l$o(o^8bo^VC;@RGunh?b@C_~6wnfRH0&%2R%La{!b>Q%_)xMsPbY6KjOczx({0aSk}8TPBVH?5Bb539~qObMd{AZRp~YQm}N8m#wVW%=UKI;mQslrv5~~+@+&S6vLE%uP`GZCB?mL z@t=^F1*ms7s--N->eialW3PAL(~_0q_HNGVKAmp1*!qR4G}Wy2Kf~#?E84Q9$XL7F z_&>qUC>MD4SyR^8Lar}Y8w^EJmao>70KIu`N~8SvYU$y*vOgvzCL75iz=9i2YbtQb zT6kP@#tCyF_hYU3(VF|MIqO4f%8%ascS@uF`0DiML9es>Ze8Y){6peX=G)r3xWpOp^u$N8dnZk0E{EIFn`wIsLdng#rD>s zbn5$5J9t7Dvo)rS+u_DHS9W;XvMC<>6jC$-P5|{pht9jpMyY3Y8=;3_4Q@t_07sCo zhQWvDREi$ZFQtX!JW52v?E$M-Xf^xZTM5^F$|}O4TQfjzQ4Bv$_(XRpalGnL`N|G< z%1FJ=;4LN~wXO?ee7(*GH${HgiyyqQ)tKEn&fMrhQ)5zVACbJFV>?_-ZN9UK)ZKX8 zyUvFrg{rO#Yy7Rw2QlTz_(cam)O+ixAwV>aW$+>b&@T%9t%1h4JGk=9S(4#pne$r0 z_b};ss!gsM2IF&(4)bXvlgGUp4U^SzVg!a|?*50oKNW}BY;`ONy+RRGW^`c`EG?lt zF&Gm@CL7T7UBl5~yeu(-k%-2_#xuvSYI+`konvNb!Y4bF8jH?XV?W6fOSD{#rk((~ z5KcD=cFIP&GG!$pu@?^(xw$&5FX(vMe!_4P7>*~dOXxK3%V^&!J=U~N;n!JjuofMR z(u#+(>vo1!^M_-re(yp;?%=}Bl1U}LSuDlT&X#a@&F3I)mv7WBO@tkYn(~^3EG@0 z$N881-`QogtoY`a%>%M%>jU)cQdiuq73CBO?gqcOCCfUpv+X=62F^Azv$&21V`SfT z?DI!gjxbkaFtonI?-!-pF322Bx-2N@^fZ4YXLicSLg#5c3FPCE<>(X_HlJCx#^ za1te%a3YK4MdtZKMo$9W4=_e~7)ZSwD=qgArU{>9zvaT3mVr$L0@8)dtxDg%roo7d72MnNdpTYm+} zb3(Y_>7K}LT*QnuJcZDv=LhfDZ@}1@Uw0-(ax-@rk*1nTVp^z(T$L#M+@AUpyx3sT z!dI&*cVvVGnuUs#(Cz?=!-%o1I?9p~e56WoP^|qE{Ste9;emWh>eZ{q;?-530VaD;W$sT^T*WakCVvly# zqD7sctHqv9kiDcd%kE( zz*ELg#8cLZ?e&f@bdRxJk8k5=AnR|E0F6OJ7vC|f4AC7$4fAhfMSgzozwc)^O6FPUY=HqUDgI6$0X*so7ILkZjSZ0 z?rP{(0FWDW_@Nl3-Rvzes&|Af#eK5hLe3#taJapsR1nT=NP{rd3Um&c&3=D`9;2b-W z?XD(Od=TOBvm$ZWIP^e4n4}CPh?tfUM40>yxhNx;co6_mZbtIQF_YwvB|`}D5fd{6 z_ht|Y^r82^qM<2upixIf;-oMG#VUx)0*T3Kp+yP;^I!wH6oqHBgl6Er{mR2agcfFW zB01(RCOIAg7nzafgWikhR76HvfC=(|m%|?%c-|tu7lF)ArH1Yn50fj2^8{}7NYu) zld%Fw5`3|hCi{Vk4^e4kI%u0@I$*;Zh;vTE4#~RgWBPT=|F38WGzR!^6GJI-I8jnn zCY3&9R1A0$#Xbc{(X289iwDE7(1O*?FsPyrOADKK?fIV$z@2INxF{pTsHpKiqdXK? z0nlZNAW6MUE5zA^Wx9s(F?ZT-8`_e?W5)47Rxp9|7y$+JNG)bS!7Z4ESVOFM zg(g60(kR{vGZMcQCo=1=5x@x%%{YFZA3IW^C-h&@2K_^%@c_(llX|Hl*hpG{NI^d- zIW3@~E({F=vl{|I{4qom+=Z z)iECNe%)>7A1KcMK*0h+aRLfSk!#EhKqxw54b#ATWl)-QinmGuLP`Gz3Ih;|GZ0D| z5X#8Eq7C{Ffl#o*P1>c3A|h!SL<;tRP#7xOfKafiA+dbbd5E#PX$ayXdw5;j!$RIE z+K(qs0(9~y{U)rN5qm<*C_)3py9o)CNn;42ijRm zB+%f10{ob`^8XJ|y2*ejw$c&*h;L_h=!pG?72kiLd|3XB+)Ad!`Va!b2r74)MJoPi z3p*Xx5esG{7LOj*5lcRs6gSy+Lh(e|C%uhq53}mw(GjDsyKFyxm8W=iZYxv%hn3Qc z-qx6?NC#>M|6N z_|q_m7!d^SLY%iZM)j6@7<0a?yq~LX782S@-7$5p?|EW zn-SlRp_*MveouWPpqJAv1@d`#ssUtsMH6!ek9WLZWmyw-Pq04gIu`$6N-qV0CSs}~ z^jz&yx~05hx~DErFfyB0$mvrXxYSRocZwX1##lsGmo*vGh)ziHMV&C%dRZu4J3pWn zVcZ387p6Y(4CZrGJdnS=&Q6Y@Dl-x84DTb&kK~z`bes8kL?*rs zp7-{=@*G=yS&Kn|k9jDMtn(`h%TWrwP(Q-{77CiWVpN$rNZ;RJz_A- z8>+?IeN0jEvk7#5A+|JFpXJ9*W-WywEsd}e3^na6l6&k)Xy?fNz^3u*?j`dlLSg+) zuGBuXIK$P*E7+1hi>l9Sc@vR<;vK=LbUO++=iwXS5Ci*1@CqHM(r5rO1tGoRM@tt6 zw+sbwIRticKt3vniqbR23f(iO{_5FVTtUqZ{^qHlET1|WlEt29hDQ&jUaxozW(~vV zkHFsyI?X3gF~ck{82GIY82M$;&e7O49pK$`M!@a;Cn1bmUZ^YU%s>auu^|CCKh$~- zHtVz4HZjZ+@lv#K6<&~rK4DAn0SmNi@d&IrmIjF;ZTnm)DkgmLOy8mLXs8@xtIx?{ z9=g8QTSy72DLzYrsM_*XCExF9z;iaYhiaOmM#%Qk{#H+e`T8yzMy~+(FHZFy`lI(2 z6T?BgRp81UJ9emGUl4p2WC1cNi0tc8b!b#a?*U3cq79WzF0#n?`dZIW%5&=IL;! zHlLrAAqQqO<^!NE9VH;yHU0rToeuKy!g_rqQm}dXH@Mg|0n@HFKUyFAtBhfxNxec; zL(JFG?Gjef?eri6#5AjoY>$66juu=}wU%_cCc%*%qR13_8 z^#We!%bnPrAE%4juBh79sf6>srQEt4AZW}Lk@vFYCd#~lvWv0>v9>$lpG9i0*f1J; zT3_;rva23hwim)LP4_0;yryfU{5<#06T}-w(?E4Av=iH;PRW1s3%)c*gxG{0CKvCR z>RUHzQEG?0WN4I}eQP$h6!Ay&;_N);ua7w1B=EkBiRO2IGnPj^UqL%FI}dkdeH`Q& z&benYuyc@~*dhG4F@*MoY6q#0!0TP$k@w*ab};|v6=ukxkDWPa`T1YebcDcle0xe6 zndue?X<#yfryz^+(Kr9-X@L*X!y8se3GG#&4e;ezes$4(Y3Z7>m&TOXNHHPLWx9Ip zt_2zd-2XBNpuyyq1mD`W@3d0Dk=aSJphB}>FwUpE4cLy}BHMn4gb3j*{K_CfL~TMq<0nh`0_u=Z~g?BRSRbsS1OJbs(A%LVpm?MHM5Y z@4AUcBNOoPk?Gp(-AMQH-fHBSdnqVUWS^Uz-gh;G4?IpAEQc(!?Zj}*c}4~tPU0&4*-AdHWGMy zexa*|h}x(KBi1Vxa}P?WQsWp&qday<)46k^@)y`JE>N#u;F@+S7uqn+QLn>9H*|{u z#Tn}LMm6@a$N11w^Le)RS~Cm(A2o|!Q`01;XcWCMQe|GyL&yFogZd; zTgShZdckOiRn7allzIa_ivu9ShT;boC+-Gfh#!$ zq*XK;R7~~%sgN5ws?Snb%yt-?z1>YJ^y3N+MhR8^BSoC$Ho5BnJ1+W5xeRCt zrN0g&gAZBkL-=Uic6}23cgg$oxa#c~vNSlclODZU5z|8DRHAsPQHPTE$&!TUN)0*85b;}u5* zamJU*t0i?N-o*(P5*f4ayL+RhV#~P(L{rU-B+}%7%oI6*eLYS{?ZE^nAX06V??Svb zv4)~u>Yh0C*A`u7(m!%%>C3YJ5<(a!`4wA&E0Sk+MIh3quJ4$ieaj?Lz}TSx+Q%iL z1WihgD@2?cbHqSTdh}0Q&q1m-mxYk(eyZV*Z}17i1&~jtnM}Wq@fonSw=$V3Q5f2u zXMx@?*Os@5fR$DMxEpxM^*CDZc%Jy-2mo>z>B<^2)PXZ%M6kaCbaeS}9G?jLI0$Rx zv*G_d4hHhj_B^a6c9eaC$TfmAIR8@+cVq8yVT$e;3xG8mAg4hF^#ZUV%=(C#;K)P` zXnTBi_B}iy1TM*d|0N7qP(9{M>*2u|Lr~HVNs!ZEq4`dnq=6;C(Elz0u8YQ%(pz+3 z|Cj$Gd(0g!-P(FqZl?gE+UQ56h}oRA(_6aeH&OsdvneXQS|Mf~lh;iv6z`Uw6j>17 zFe4r9zl=9^`s-t)+wSsN=8IdyF?&=sg#b*1EG9KgZ-7Qp_J|` zds6>)3hKG%4h|y#LSaaX-(}y%;|laeOB7JQa9KtY^z!P-UtdfjC~l6f)j87c>s4}P zUz!&nk{TZL<=M;b@yfp?`>`(O&Dj^@s=Ruv@sY^UFea@sp@ZJLr;MHgo-nU*49-5!k z>^i+i7`5s@RRJL1{~WXK|M*r?JUwyV_-=2|0ODj!X$opcV#bR(!O5g4q+x;X@dMa? z2eyd<7qGbt)vLh-%7iHc5(S9{V%!JOtAVWv5*5Mt^*o+^2#(I@({2y0NvmQCL0tIi&*G|MQ3f+ApV0E?K_0OWHj5 zpa^~kIE|43V*QN#r(#&JU`dA(bBfqLok`Xn9f%cdZJl^bZJZ#!K8e2?N6+ng`LMbl z6#{?VU1#~Qnn1jj&Eb<$bhX0%V@&mov)s@MEM$t^m^AdTdV+^dun$kpTT@t;cAZXt zf-$WMQB1i40e6W=PAqAONb^A&unl8~8KZ)1MCF*7Iifrd09#I6UxJeSwVp_Me#uqUnW&F5b(t4l_kA2G6zLedW3FIdXw zCNMH0hba-2Z9*P!{gm1_^)|2qe7ddxpRUNC?T72P?f;tt&|m&}GTH*jcG4-K7Sgl^ zx@7NnMx}3e@AKIZ-W|r9S(h4|z)D*r^w)b@-_hH@Dg>_*lFz5cEtx30iR`{SVBxU4 zbid5S$I6ifG&%a`uNGPR=WExHqOR}p;($M7_a7(%t3^ajA0`z#pXF?Whre@;0CCib z{yan7f_(&n;k{fRRdOi9+u4skGbG_bT-=WTRFN=Ava-yfOJSLRB~}&&I8+dbas|s_ z_m|tj@7`OC@dRDF<9|ncbtdkb4|X^@;#tP{6>0*NtdER0*3(NgmS7Otpus7sS(TX& zSW?GI*4IG2LNzVKa*GeAy3(ZXo%^v%fRS71RK|l?-BV`b%JN(PV4fC^tVERsHE$6s zHGdH+)H3<7AN2U5vRDU+Y9p~}O-XjKD2 zlXdVR^OUNw6}A(-h&*;;gR58tJ`RjBX7r~@FIEeq(XTPNs_==Bp=?e}%<_if%mx8)gg%#=fC1M?<_ zt*VzSz>XFBxxBs$m*gttL-N9G=n3vTuQ4TCThSKou1~eW22WA^Hija2rzW{Ax^YnO}q4*ldTZ2T6L4NUe-xF;#=J4@;kl6^s@C~?a z?X)EX{b-m=a>7VC>L3OdCMARnb4>!uOYU}1 zs}mk^fJfrvl|5#Z3@QIE5)#c@jFCW-LwuW3MMEh^$DU2fKA+EhQA)O) zoGZ3Ec9`m$?mGV5`s&iZ_y@lj-#v^zA|Fi=K0D0lSPWg1svzn(d#PH-Z#7k`HR|_- zdG=oXL{>?46L(uhLEeA;L>&)&Xt%%LYCJg+mD#AWy3^>>jV@vi8Dvv`D-avqUY<%u z>8|Te9-scnta9O{u|=37IbpX6ejRaqfOFWEXs&R6fCFcC8wJVgIV|Ehb(yT#n6#e} zY&6+mF!4`Nm|RsorwPX}n>PG=K4;oZn?WsZR54XLOIn|@J8w0G7=9RU&u-p|55X|C zN}`DQGhX961OvNyD*7-uPSA&DMWS2o_v8SgQfIeZS&W~Im)z#5wy#I!0_HysnIQD5 zl-*TgI9*5ZW-|+@qxH~(!wIBp=40D)ONsWp$d9?`&YUeRMC|Rv6}iQ|Adl`7o_)6T zi(W;j7o%2R8I^rWlC)xm!Kll)np4F}9!%sj*7lt|?d%x_7v1b)v$%7vfCcl;yIz5_ z_rdAd^o1c_#+siey-=!a8lz*<3qmqMV6IOy&F{znvS)C9s-PPCQxSSQj`r4ypuoSjRGmXz;#Ck;~m$Afhej zO*5BTu-aoj%m!;NNFuUD@~o9+34XNz>x57RW}V2*rinr-?p)8hhHHO9f|B+T_r#fl zJQOF0_0UlVIciIy&+`aTS}T z8R`r!|0eXuj66e%oU6I`-M-&XF$vZ?r-P2Ex2`BE!dpZbm5_@w zD<>4B(R`=IGk0So=Y_uQo-Jjk84*XO#uV0Ig6pc0+ z&afP|?ekE(>5K&8EY}-|i~|gGjwZHze+%L{x5(Kj#2}7(#xX>suOJV}FoqdXa)>cE zG8aUhUq~kDe_o8Zzt|*jf*!WX5=U5qDaxRQ8D$8ev$nEESPlFz=)Z!R+o?4+@l%Xh z3OS>SaMI{EkC!{wto42y-?9zWr)FhBc@CO&E5&c!6lcC*h_G~5lej`#t-g% zcq94k=h>+o^E&l_2HE5MA@=i1M^F*IEHcndYpY%u=Dc&@?CQ}R>t&_xcYAD8@|ro3 zII}=RK_A5Qd@|3sI@B}@-&i8_(OBRO`pTeZpUXAL6=d>+2NpJWxky$4LA>*8jk6DhPM|^nUq0};!*zo?cLm+ihS!BHbmGZ6B6pz{>t?fJP zHlt|xSI+GL;BBI@@d!ho(swPQMkon)fTFY7sL0V>{?;wAEEf7c}AsdWq920$#!{6MU%NpZfiFRq=s2(A&l0MrwS*XDjW9t4m-^6EO)=k>-K!3pT$$tnC9Y@$^yohMG8_-7l zX_vQ1ym$ssF8F3W|B00lbxzuEsHCP8AdLu*4Kvy!D@dEkq9%iz%zwX) zMd%V-gGk!v_(@#?BgXt+md4URDfEJO^z+wc-*Y|nt8z@_rvl)$(O@PQGUyWk@{t`j z5Gy&U6l0AU!9E_y{7>kYh~-krE%kB`!%q+yBw-g!M1Nz}B(De?P(TTF$ri_t(~v}g zB3EPCi^C*El&bn21`aMSKrXeporn?hw{5`A7i8ZFxWN+MT(g3ryX`QE6LiiK=;wl$ zJ`ajZeaKfJnY`O;y}PMu|5o|5O-Sm8F5fHaCozwm5s-=7iZD7 z`_=aU(DfEzarA2cFs@s4aVgH?PH}f%+}+&@#VPLYF2x;+6pFhQC={0hh2rk|ZJ+bX z`T9TabtSnc$?QyaVz>N~tODt!iE5{S&ZSrK}rTHLsU z3S(=7aa%0>WdR?mr2do0U!0V^yS_k0-=JbdwCrZ&{incanXAkKC3^jofeRPRNEAE< zea4@rs@?)*5mNnlDu`2ZM&N?}Pqzy(@qi1iZK|73FLGdGtN_CRiMjN)f!j$1-^lOl zt*pn?&w0DbC^_jVzIhv}q&mWJ*OH7r*rfNGNoPqvFQ5I+dcWW*!yejoZ_&E*L3xh!1yJ*_dF(b6w+%^BpyTGRCmoWZYb z3{hTYxN`TyzXRIii&IYd=%ZZJf!pPvAuu?mSY>Rg>?KCH#DT=(w`;hyUiy;++wA!f z+*Qx)hVY>te~B>@Lu!jE|D5oTtiAdc5|0A7yt$WzsU|^N$(8nItiiKt5D!pa97Gf@ zBHkz-@iq>NVy7(xX%LG7H4HJR;BVdAU5!^*eFrzl@SnKm_cr@dLQH%uQc5p{-K%g z@_L8s_WD4JH9>Zkk?dM7tda4$Qa6&9hi+%+t8Z55wx2vEq1xj4Dg=fv$#{QmQ2Nf* z4*5{QhT(FaOEBbw6(1{@Y|(n7-SO2fYdSxa! zsZ4ZG;rn^!r4bA{ulfg>xAjH7c-0bY#?(>J3}AqEq-hVzpNxBv`nl@?Ce9bTQR{BgFJ`PE(wbh zF~I{rW0FlJCZ<3hR|JFND13-G?#uAgvTWA7coh5(V!tq{bNl7^jxqL$84|w5%JIEx z`;?47CYu(@BWv~U(Hn*`R+eMFQLNof zFmA{RyDgd_8wQ&Rhmjm;j5RF}<1X97gj_%k-9Xio8j_Dd%vAQ|r7OQTwV5(xnMd_7 zCZCLSLp4)(Hy@NN0ht;bCg|ETse-UFs2((41^H?2s}Ky+Gb0h6LNWE%M=b ze*^IKt4+yi^&7StPE&QC2_2!z=6$a&#V59m_|ykyWCx3|2Lt$i7gF2kpiLh1W=Vpj zaCwMSx%kNS_U7LN`<^@y!i@x5JuOOx8SsbH{m#t!!lQcj#hPujX(dxsMGL5O86s&e zgSwwSEj!)WkN)@uQ7+W5zWWFYW0GXEr;9R?2Ltq?*c+Wb7d*X}sa(^1odo$nxa&L> zMxRFG#bo&YJjOsl8-c{^=bCbzF_%fP21^~*e_WtW_-A2KE_vQs9nd@@>d-%qDED)f zQ%|+lchcRGuAI)~gAyTGb(ZT`l)Kr@z_*d9a4+u9u&--^!$fq#86zB3O+x!-xuV_0 z10f% zs%x&Y1Lz=I;Ue`Y#X{-VU! zVg%zWTIsmoag4jWPQBdn+PFplDlJ|C*0bo3pgq#Psi6zFG{du>OwD~hA2-x4ix43o z@*2rLMEjjk&=NXM^)H`~ECJ?hanm_RLzqf>k33?Yz{iytFhoi()&_Y1$Abt48;zwJ z7>$Aa5;`@V@ zp0>DxzIwn6+loEdiR4wHb>-JE3)}2SYW)~c*Ap^ndYKRkc*7yOi;7e;)YJ0%4ES#c~}WS5(?)50}o@|L3v)e6H2 zFNp;(ju&b{ShsWi>VAbL!h1pj71aq_Z+rs9n86Q)+6K$hOVN%LGP!l}Gh+uejLoi= z%svg?EG^Wuehc(g+X0(*;Ee_VY@ zXkFmcl>jMG&NrNU&VA75;ePp+B@|`Eu~`IceM6Yvh8{6JOGC{3u%7iIHFNtztQ(xN zrFp?awM@wQ@RId9Xe{xbS#rzuR4WPtiGap@AoLMTSqm2$+lB>vwla8e z(oK6Uaz`Ky7Cv4z#Pe=6$@9li9k7rdRpw|0gw>20OLq5>G>!rc1mR0=k)T(-@&6Wb z(*e!xIN`9Kk}mE-UAHY

vzGF;iHThChVw| z851yJqe{N}@#A4AZ9D9q*65Prag>oTaK!LkMNbzlpM>{uq~%h+*)XJklVo#ejzZ3i zWN%C$7>gfH{P60i@j8ciXRKkv&{|oPQ5nU)B?4Cs7bFz0`?gU4LzLH%Obkd2Q=1PW zL{NZ8E$Em~V#pt%rmcJff{&H-zWkT4gokZmZUES+>kqdPbIn{35+dq!R*m!73^8TN zVa!0KMcwTig)qG=>gdG+BU`AWja#Vu5HE{klrYe94o@{UgqNUwd z0{D}JG>n&k&(jrHK0tOJI)ij+mph6Ox~XK7tjOzfZ5xcq=Sg3U96QAvw+P^=CJLCB-ii6IjG zNT)TSq>}fWSqPZrlDrWjlZxB0aIisaAtA6xX4}H5vq&(<=9Eb0aTG|}Wl()0=%opI z!)~p(iEgNA^4hy#*oKMIrfT2CKsy;1*tV09Hwgvh&{#lDk-kU*vW6(~;O<2nB!Ubh zO4ly2dQ33{@+Hv+;rK3S5ng76NEk8`YfN~NzG;dmFIW-aB|;#G(gzl5CmkKym-+t` zHg?2e17?0-Tm?9%9cree&7e}YhoA};@u5&@ z5PE_lq3a9cxkJ7#^%QES<4&X64WPMUj&zJ8!B&U` zRw$Q9>7zvLJTwspfJ{ij@WmQ0uX;Bm13F_|SECt#J}wr$`uaLN5->*kI^}snf;^hoLmwPCli|LQc$ z!)WEM;({JM8yasAfo=Xk@K5H}Cq(qcu|07V7K00M6t!>h=J8JL8^U)!`OHd8WC7f) zr8W9R7=sw0pxp**a1XwYkA;~TXlL{J?b8Q|nJ=s4%bEt};SwLCV;msj4{=GyeAm%` z%RlUjiF(*1^DX^oz?SX$d*KIrN4wNiiF@WxQKn=XK-h{Rd~UqKrzF^v3(jYpZc%Se zVTVKRZcylMIO)&TfQ#(VY+;5-*U$Ej6kxz*RM0__bWsK=Jqlq6I%dlIk@_{w``^}7 z39kCup{6FO@UT^k88T$3e2VK_k1YR>s*=F#>w`(y`>~OJ3>U~6^G=zLLD^gOCq1e| z_Ro6ezjn@@c=bt=RJE{_W+&0gY@n4AwHr>Fr(Xg(2mRXM@)Cfa)eSEJObGYcKMM_8+$1gDW$MUp^Qk9Tv=QH z!`|$YmsDM^(upYIV-`-|GYL$Z<9L6kC3n{Qx)+XB0AQ&+(RG#lD+oXD62lO931|iF z5;%e8%>9TY0vB&o%MWg)Xy)t9jL@MHy? z0u2vxfN-a@Sw9Q~^>W|_TDc>Bn|#swOPkPB-QV*{@)t8KiSUyD|8O~guO+5G6-Rn( zK7LFjQ&pS~f)E6ycWAI>43j-FAp|@IRscX2Ne0ArCInmNf`BleW?@Vv$I2T27>%z0 z8o__6;>s;A!E=rbK6d;0w&n{Nf0(hj9J(@0UddC6%I`77jKCtJFb@};+5Zs zy#bx2=s~l!3d3vY(*{N8LCNq%+YRR%<#eR4mJVM&}5HxVg&UZC`41KW0^olGu_G zy?&@UTWi~>tr?mkEZqPGT3(`FWus@kzKe2&Xx$<~2s;vNAlfKVUNB%R(o_Xa&dd5G z09-~l{2o%}|7Jd5Qxc~`pM1spKdOKFDYlt?T0zeSei8B{&S=#@%ROjoe;X!la(RgD z<6Sz$Xb3TYWG3JYDqu##ylw5r162WLH`JqDNO#(D5C>gJQNu2^S#yTPFiX36ZPQ%! zn^dZGaAW>)QLN8QfAwjVkbS4vtPgz4RX0>if=T-Px+R#rnavlq_$|q9}d|x!d z#A+re<|Gl8vN=GNUvz*6KO{H9$OTktirDZulghpNJ8-Ey+#2DD?C)CoXWUyfv!ip& zYBDoKnrh1eElO%lNiD-vNi&TMqi1M$%Ee>UitrzRgVSZ8Fd<2{E4rvcWzbIIJMWi$e&k@Wd#0J}iLp)4TWE^XGc!Jv;U zxKSaG2Y+=v5Y~y^-*Sjd+Xn7mot7xqxk|c}p|1u>3kzqnmU@$-0SzhLI1o9#Cl0yw z(eRWSr47yluFY&azxF<4d|A@VWuom$mdR2-$huvUUE8WmpFhRA7PND|dZz|K;ZR=^@eV&pF*A`{Wj+nPmArt86WZH>CT?zob(Z0I3L8NE zG%-W5I_y6o-Dcy>!2N1_Isg9g$L`7EDSxq<@R-Z6zsk{KJUqvZS#Qj_;!c_lGD7x^qG<#>MwNC9?xvS7|T?Ise_rATK1tD z8vX6+7$i}pc)yIH?*N|ux4_*ywzRSy3vrj@-q?}k_2i^tTT&PcvVi_jZz$)abU)*ElYWmYBOex|llWN1{fVl5o=!&- z)=H=-N5jAN(WgkuX3VATyULM97Q9=kWv_mT4(OYAH`m{TW7E33BSbAw?J{xUWj>LG z9sLiQL0|AU(Y#pxk2Dx&iKz9JP9!?-^mHkT2#hnplMzIBba}74XVt0ZZ=YGV zxxcgW(QxJEFl)%JONHn}d}ZsIQPrq=pYnHr+AOaZyCgX0Y<%U{eJZOj&U^*k@A@w6 zaW6(s6CL*HWYY~hFfkXKv^UR9Q{YiB;fa@3EFvx_vRH6#xz`%~)Kh!BY}Dt-0~VzV zlRu!M6q<}a3|3^WM-WDY)5(c;zru%jDpyY;itrBCzB6Q}MrHrZjVuCIQe6uT;mwnx z<5LIXLsNsm#}YichZREUsJ1*}`l5yF&M*E4a7xvX#d@c<0t?-QM!(i?#y>iPi;0+B z*Ab1hHj5JUX>u-a49W6A{@*p3{UN9pH6TYXGm5i65EOMW9`3M?`DR5WPQJV-qdpcy zV^0}{yb@vB$6XY|XdnDfK7j)a1okBYoP{gO_@9PJpo3lT^noY*cAvZV_Q55}IJ~T8 z6^$io|Ews6XB~#&qy6IEdD@B3mB!bSs8#@mlWgUO zXsVEost@vw-<7+`LR7ieAvW*GRw_&1)a8Xcx`|#FT<^ke<(yFKYl=@VU8Pe!(;X+o z)`jJ8h`J#9Ui98+qDAiS-=9TDQ+pC|QA(XZh2|`M1P?f`eLCPuI^GMz_~aPm7gtJTu<8BX8OCCkHApzD zXA|e)OqAzqAHS+^XmlAA>S?C5Hdbt{3LUXQq2Gg)R{1wacla(QF%&qhBn`A`-xAFa zaKGQ#hPI)=fB)Ksa=rB1aVdzVmSSvV ziyk6{>hG-06u|7XiNb>wR78Q;>KKD?I1+4-s1&X`M1b9qeok-OjmBAZkIo=-A=jsC!X zlGEtFtF~=hy7>QJwI;>k{OxZw%KJz(+KN#;Rf}?Kjb==J{3=FFG#cMWVJ) ziEj$-V8R7KT_Tj+t7M>qUbVybzoK;;*3 z{D~Fm2$Hnmh;W?u^gMq;5fX}|AWu-A2|9b1sY(3VFzJXO%hCB1*BhTF`N=Rr49blD z10}}a4aA^>!~sfD^E67*aLz5!8{REZbeKU3($Cu_z=0XG5+ELwY?U0)M%NG~v!%Elm1<_S5DV_z?5(9|06{bt&858G!P2jQHLbz0(Y+lA5oh zfAu9$k~XSdd&@i>OA#o$O00J_f+(n0T@kug5EvV>zDj7S935;e1#q) z@b<&r=|5g;N~@JNX3aEdRicE8MDmkb>zTU+$Xe;T80ZR;5dnL@K(KtgQ-MNPL}VgL z@l)9KUNEf6P&<*(7v}DqXw2*J8Z_s(3n>E?MJ8AfnDc;58AXH|G+^u5=s-pQMH#=h zVTDQCps!Kpr#qFj+|Ris0hrfY-H$!{9C?s~JsNbOx-J=n0zGq#uKB%Y{aVjqg`9IM zdn9?8og+;H<$VqOYVjbn z(Rj3Rw2v?LDI#>k)GDAf5gk^zu^@64TyDOPdHR0IF1c9NUh4|mUo%5KG>s%lt}}|K zOFG6jnCto_gCU?LaZ{4Qw-Cc#x3aaA%eu_S9chH)XJ)O-_vY9y&!t1$2)Vp!WsG(Izq)V3^}U3jl6 zaPD<3<#MkdAo@*Sf23HIaec-=#&b?6##1Zo>;#uF{x=%y36tqPCnLf0NC|Z{#|GOW z+X~x{)J@*_^_SM&86qsSujH7Ptuy|W=fW@VL>zVze{sKU_5<-TmJxeuGe;4mMVp50 z6lIuv0a^YN6{k~nc>8~SeA~w_|M}yml(oc5SAE-H z(~4MlaR)|xTeHHVmZ)VaFj!C0A*a0Dfl_T{*jCQrYKgv-`@sSdm~xeJZ@nw!&cZ9q zE>I6U==PRv1g)H!X#L zzbv+4MHx^hhTN}4^b90YTamVtb9k*Qos$xt(hOTJ2g*Bq-sqW=LK`TL)z|HNKv7+J z{V~td-1)%iUHhY$`;y2eJAT=}H=rp!d?)hJlEtA!+?~`vI*6PPy<4&uBR71b$T%Xe zS&KU_L^bc5Kie1}Wt%-16h8Rv$4Ev_n3CEq*o zy-*XnY!otM0I4RB?Z(*&j^B|b_xb}yM~bPd>&TM)E*Bpp8{g$@Q!d4DB(`k&x3u#M zJpI@^OK@G?BnR>fnD+wEby)kwaajdEMa%bO^8`Z{!Jb{tEDzW~$H|~LiPQMjr@&ha zm&EXW4Ob;K7SbG-g0cWu4O#1P4LMVwB!qc~@<9tCCe=0@T^cFbXXzeN-w?L#?j43=$sHPVti=Sw`I7Oi-VpFp z*Lwxg0}C_X;9+KWpPdf64S{>Z(N?LrM>N7Z7NkSA^-%4Fs5o4xUH}2U(86%H0z*#7qCj9$E4fv*`4H zWC^IeDAh&IOhD~a9Uhf~oO4NV;A@+Ti+bLpW=+Eu+;=qF|Fq~do}Q2^BCcWo-vtSf zBLPqZmeGs~s_mejC9WXKq!S$2s7}**<63;i3$E zSua`mf~0b&&oI-_(44T5T7Q~07$F$c3m*((Lkixj8Wtm?C&1f*KMNtn>B06^hgu_F z!sy?(8(Jk0Tn@9kvKG#pDQ@)mrDv3-=k04ae>P1YdfA_*&ZB&oXyd<_w7Tgf=$iQZ zdgMw2R46dvzoJcSX~bWOuJ8Yt0dssf*7j<1) zLcgzkGhvw1sN1fdtxvqueor-e*V+%{vl_39i_h=JTi4DvX^XeTA<}2K%F$f{Jcp2Z zZw$Il&)xLq@4u&YvBdlUVY&Ay+p4*sumcG;b=s&xJ}}@%q-i-s_2&QLJ_nKca{ou? z`d`vN1fzZV_DU2yrnRsH0i)hQ6m{w;Fi~Ptyk8Cn-%5c~TtAON(7_0jl5C`Z@_@lb zf564xUabR{443DdDN%eIxWY*T&xDz|R^;`*J z`w)0H5|dtiY3-i(10U~&|5mY4OuXv+#c0~QX9(8>-A}?^j*_wFYqGLL#-d!>K=00? z>{7GAP0*g$Ul0uew~&wUx4O+3Va_*rOEHwQSqEkv0q5#K@h76;JIhcQ#(p&f4gs7V zfHH&wLp2Vg6`wfU;A#;AD3@k#jsiFYczXfLmKmF)oE!o(=YJ}(zuRVl)wktk&5U`t z+e?8rkF?A{+{S3L_ZW6d3VN}jzG9j|`s$8q_>P#EH zREOR5vh~~Ys)dIYB%wy!yPvLxpXqjum%f^%R!tU)cvUK$bD2f?`tAW+la;~Vi_}< z5<@9G1K9o=9ivvC-~FXjt)Kbwcoo(0PQa74YGlpkV@KkLgf8rC%~htz*r_#H==S70 zpZaIgjkH|+MhJUoP8(X*W}${)x18HU$ge1-JGx+yocf{svBu1JkMX*NZD+4F{tNMQ z9NVfHw#A-j9G!T;skT$t(0M(QqT+YSy)B>G@BXCH(VoK%j=Wh%9MZ}06EnZouv$#w zN(tGe)jHGqXUgGCIL{L3vgpNtv5qnrlW~`y?|AKCXExjy!}sWZ4+|?9_(Y(MqN9(y zCn1_!>E1&uef-$D_Qf^s5mUkbU_eS_5HfFGB+j630+QVQ{&x5Aj=p8!#SbPAbzs{d zWVwT-sU+RRfEarH!N$FzHtyJ1vF`#ZI0ml>p9O+ir7wUe2ZMiV7p;UlTXwCR{3IqbU=;m`5%faP4&FCn6X%$Xf%4m2h znhYGf?J{YV#8wksf#~j-5LYC8u#lio>{@)^^rSWxLtpG!3Ip+3UXGGT#He$=gj`?< z@&{IE01Z?x955pg3j3!K%#FMz?2`xSZGL#3OHW;kY!3_7uq-3haDKs?(cj?~R_3p% zd*Xd5#l<$HM$thH9PxFMY}#9 zP8+tc%FzHis^wNVJjZ!|pH%)`g$C^N8{ui?G_LdD0Xej***g*88ia==ZE09Ps`(ia z2!M)skHu<>mT2z9PjUDWqKW$O>STK^ThMUM@&g6-h3Wz`{}XGwvbTQ^t%1{}pzN>Q z;ep(Z`xmxV9_&@76!euqj? zTcDQUfJCrwtcF-7>nO{U5bxHEK9IvAQ`{pF#uvC;teX0N1B!< z6xbKJJakJ8@270X%@!`Y@S$4fd|^;trsi?GbDh_I|~!Nr@< zi|wFHm82+u;s^PIwA!0dBd$hF??!0p-=~CnH+x^N802Mrot1Xe>$^;Y-9FEHlVk^+ zKnu65^DgJC`gUL7CM|f|ztqhv^6j)Wyay}TLyDt^H#jga$@Wi_WK1`1spHMXk3HvX z2tUXT%5P@N%z9P;oI-s zPX7~FI|nmxC<{&l2R%OdmuM0?Hr8zDGQ8ngnh)4h6rD&7%d!96Iwu#b)N;LI%kYLj zKqI<8&iodJv{n#%N*{SEk&kireE^~A+)o8z_ky(g>!_J2ZEgyXuU(?Q>)Phq6GJqp zbNdB)jOlleaC6Ri%yJAcSYA8Gd&19kqc~QyZSR|A=p3pP2HYu8G9vb+fUMw7seUlX zPWBzS*H41Tgs&f^7i_rN{Z(RWlV{>U zV*RWXb?RoOFkHM(yU*C0tYwi#Mkna4Bp08PoJz{oU3*)e+2|9Kg?$<0_uZ{62S|gI zv>7%$>h0V9l3aH^+^r^^eQf)rm!jqW^l0>wo@2VbAu;^*b9h{k*8~HKRPQxmtXHAB z*}Cn-xQ~XJAyp-t6W8vJ1lO)XfZ4i4esrM-d;ACf9}!y8U-ORzw@Qv|2gWO~DAxnL zqJ_6|KUo>dgdl#4c*p)Z77$W}=>+zW`ucht|6%In-ByXA3mH# z$hUVhS~-4PsSnnIM7a3L_UWtP@RU>S(A3vQJ_2Fo1B}lzBr?AvaY(^<(tU%N?_K5$ zEMxoz2R_rZxD@gDGjkjmuH*YG3}AD*gwo`VM6O|L*>2G*j&S?X>~c1!VPEEe-dT5x zV2j7Cm*m*u$A_de5rmz4vK;znnYvFXfAkgFS=k{A_G(vrBlOA1n794o^ItpAgg<-q zOd-_=-aM#QiU$k@lJ@&A&!Ig!^)x>H%tw3@fM~ULDAEA%^4b@H`G~Ioc!9eBgtQo_ zsewioq}AY^Kbqqm*XaW87coXZgpu=}zQD%d!60TGAoOO+`IPTn8C~=7QBB#~MbDoH zotMLow#2#nbFopcxFKN|>r4~jz*C@pEJWF9s89rN7X%CMU9~a24G5zqS2?KBicgPcc;W{8CQ!7?k zD-%~_#Bu$+HIoYVWP|Bd&_qFi>lqomUNi{GRI zUYm#{Hx&7v-({Y3%f5!$raHF$FUOk=is{e(8jp@M2OW|>MLy`kwO6%D7Ib+3@5NPj)@KF;eeA68~;hB)> zw7k;k<3PT3n7HDzv1IsVz+2FjNYE|2(>3zK`&Wz4uH`~yg|%bV?^;0vnwDrhffWX~ zQZ36etzGxJi3-EaP9mF1s}uJ+eTpw_OO|yLQgstYThkZpld{ejWzb;#*VrrzZrhY4 z&^K4VTk5RC4$N~%TIrZQ@aJb7({@9B^rFKV_?WvT6`|~?`w{42bKc$yPNHo}pjW~XAQr{ht{O6yD!<&A6AxgEO z-TFg#J(jNDXMvrJI@x|?79FB!{`NTLYr7l@zRIU;le)L{@ItTHba3gUFBi3YWQ}1g z$CtvL%PioNzby`0?t$t6z3XFlw3YmVleR)G9cP@Pr_%ohkC}YYS-NipA^TFMo;M!u ze@Po}d1M z!G9Bf!)Te@em{oBhLyW7#MN)FceaLJTqbusw9&Xhxfq49l#M>B6#_)+!HNg({WXHa z$M`GM-@F62c}yA`8#%|#McSqE~Ph`_JWIq6Pb%wT?lrS^AL@^IJq)^tc*`(gWq4sg*6IhvPfvR3xhqbRGq`Yv=H+ z826CH9b^x2w9Q)lv7R4-IhZyytKoeFiI#o2%#euis=PP>{!R!7#Soh#vV}1ea9dnoQUYPF0R zF6BBe(fc&3FL6{f+BI?!aAuCzj_Uu(wPR1GWWwj=TQlMNRT}`^EU%<_>{_TnS%b&C zSXFR7IdbgW>9$nk$=rdf*K1p~T!LNIj-&p=0idx4o6V0|VJvZjW`8#~Vo}EusvN6- zqYyTgp?|ad*=HoXrWTN*7I$;QdQ6gJ;N-f*-&Z;+-ng*4Nt!X9h!6q9vVn3slv?~W zX2_&*V_VT^rMe?Cb%EyAcEQxS=N+zNQD+s(GE;NbFkw+=(m%|eE||P&mg%#VO2V7A z8wE`I6J0`iJO1aT@fOTJG-ds+cX7$%d820}wCR)~S2!r7PP@!E|qN0|W6NBubUVGaVv%0JIW7dMoL zg(5#gG=lUvk|pwBJwNc;2CYT^ACgN`QX zbNyXqPB&U!yCJmA#3+J!xH(G|2Y8J-%}q9IW}i0%r0zS73kjPp;*`FHBmcbM42LJZ zQ#Y*cON1WEO2k!tt+5STZfSkswDAQh^AqZGy( z_6u@1AZa%Sz5IlW$+zqGB#?QLpLH`@m)QrA#up6v(<~u%#gk`}Optm=lnaaZX0~e0 z;2!_#NHe*IGpRysd85r!966!~f^`FI#yD4(Mc!GHX(O%_8Ff3uzW7+*cCB58ck~Ks z#XD@gA#L}rL&!Wz#ET3t2?MpO)qORLQ@9?f;D1) zGj`PS=Dju%Oaa}I_J=@AZ!;ai8{6Tg(k-3Y^J*mlJDNPMmEvz}-?S#|u0{@*M%I)x zSJ`}RxlOISD7oLyM<{;dGF=FjI%=QpVN?ZCa&P|VS@ngKv}ZHM(aj@!!o5h_@C^9< z>onabuU<9K6d+g3%W`jTH?zht)1VE>JdBxj8K$txc-_YP7uU8|FKY4$UOR@ZA&am$ zp4jA}Mcpv?6E^3(HIMjqreK`u9q}ZG53yvrCR{W*4H^wuR`%^ zuR?6;OR;e6OYeIEzJV;f|MKsMx&^}HOx76%Im8iprT`>A1vut7z2`&Fl6OP@&0k+o zyJv9LJ*wkzRUjwg{}9m-d0&i)PytiPpYu)xN5#Mnp$w+djtPLisRP0mLn+^E50!VW z$vgv#yssgcKrMG%1NZCm*`A@TG>^IIIXV&@Cf*?zV zi7Vp%Me!#O;006@thkBiCM>4xdCD`#4Hf%yzYo~JRIVsa^a9Eq-|dr*i6v*)CFEPw z9=LkZn}dmNby*#`r$d7+U z*lIpS-0(1oNvk)({Ez3XbFC}AV3t@Lm-J2V>7BTQLFAZThlzt6L97{VLzzQd7A;c(Ka75^m>o;nvKC-{N+^6D*rq`4UrQMc?M5ez|u6 z;5jH2l789jw^sTDA@l5IYVoVipjnL~8Yiq!>{!IYInX{BVdb?>ThnUY1kf^sM z>I7pPX<16@s~sGg%q~-B+0M9*sHB#c-6b2P7E2DG2(kU<)#_AvfoR;t-sDbm3+prD z(uJ~~s`)`mSrQ3BZny8N=ea{5WgxuDnucHd)Z@JueQ|X&PY@ZBtD7-#IrnyQFn@{D z@I9Pw&sk?^MeU>+X5lTq<19OLD?w`D%9H#&V!!sm(Vhsgd@c!n1Z+hUln7mgmg$;E z5IH{N#9}i1pj^g}#z+W3n?bO>tY~okZEE=TTV5V8E(Nh6untuC)|9<*+@^)IAGP7O zQD!EJ8SdQo7!`+FU-w{TTXYbnHnWMT*MZd~O*@ZW*u@iJW#H1c+}zUuBBssxY4(0i z@<< z5AVHyzz&_-CFLK5Q?H%OxuUni_6RPcq2BKKO|s$DeAXtK18z1y2M3S)YeX~iTZNWb zRMe}z4ko9UIUfVv^Of{iPQk@!ys`W>XbafQ#Eb>trPbt;68knz3uI+M-0dNZ009G` zFP!aS@#^FuFeKg~QBNaLfa#W@#p0m{e}7*`r4E7sEPF=tpvk*rW3^*AShtIj8U`O- zTb2@D$xf?yR>Qnwj3jFkv8|ymwe68ER^@ELw4WW{ATr4jR@!NJCsb(ADAYjM##9%z zs+bMlwsRbI$I4WfZ3&B29&m{%n&UzCAcFdfEQ9AUlIvYv{oFl@d~O|U{d+jraT(Tm zFXN-Pn>}YT;v%wlx?!E_=@bb7t==>VTW9VxB!`v~>1>*t@^cbf*y8EQ-79NssrVK& zb?_2B47ZY+h6w)G=CnXPJ7jzyYjYZ!f=15avYDo=?(GQwx6l@)wYyy@uQ|7G!@tv8 zXqT9uK`rO>kPgy8b==5!yh(>Cvqu0)J+8z&Oy{-j1d(rxthR9Bm<$-`VQ)*t zSJnkFSmgAE1Xu9%8q+JWW<+5Fo+yKQ)pLxu185fS@{ze;tZLE2Jo^S5Rb3S9EiMim zJ?3c2d9T&x>_Ke2h&Baa5kTj6c%djCY^oV zA2XT?AkKe12jaM+=rXC!l%AzUf6sx9?9&|6XNca(($r-hn*zjUdpNL{a`iAi==)m%$2 z32plTr}2PX`HHPYTHvuC3THuk5Yor(xT}hGI7i-+=xv9*a%XOsutZ*BEw^TogtHIj zqn)N_{VPUgiPiKob;`_KXDj=sgA8x_*sANL%1DjXJ(L}1|LEpb|(T9!>!;Ts~RnfGN!v!Ih`M|cRQ)!IqwRbTtD zJKa_q%ddxy&kZ6ya#K2q-+zG`mn1~EIS^%dtF(UkNsP$`QV8y!itj6s^M@Z{HCBOJ zuGoHE*mi}g?~bxcismQEI!H-ZZDXIv!Ug9^uJ8S-7n#G@0qvN*1w@#Dc2uq5 ze$jWP#O{J zVq&xUG932|Rh#}m>ts{{O^oGVVl7P9fmD7-+SZv2s7!7aE1$l~8DFN&NhcV`mN1UF zbB$Z;0S+{}lep3J1#qci%&hQ&d{L@4GzoRDX#*#rI1Z6*amu78`6LY`#6fe5_A>2< z>`=%)vg9T#bmkefN9ds;G{p(^O+YM&#!nPmOSn|`6}Rn;GL4#P^?;_3oiipboX$7b6K_?n^7g= z+B@(IbLhuth)XQHV+&=jQLCZ5fAbm5*_)w?jJ3Eg-^$;B1Z-jZz(g2v%Yn{w2#g4b z(hl{LuBt>=!O}&n-d?HxN}@YZnku{?9ANXt6vSA^EYl~wo?mr4ng8}UhThNnougM! ztX`h+b{g%XHbiAXfYa~6mncRgEZEDhLcpIXL#GU4OhQ15Y&=-^wiE06+E)Mq`w+8K z@gLPG6x>+CTx(IN1W>Ok{7y=#r}@AxxfX-vNr-0`L1KhuZ!g*c>i&#$p~}mX2-()W zCIfYI5Q-L^!vrAKVznD+Jrtmm!*C8@|0##R@bls!;M?$2;|5aF&a_x}Wk=%LRRL3% z_P1){(l7lSVTz%R25dp2(a5FZw5uTXpjaE(`k65}B0stMnKC${5{3GGBxG)(s0{wh z-EYm;pNxmnW3tad+ofg}zNh7H)Lm#|x~UE}n@eq)L$aB4k+~@p>i<8g-Z4y*o@p2! z?pQmvZQHhO+qP}nwr$(CtsU%+XWsL=pYP9i99@;9QeBl!(w%frLGlQaeInUlF$;^2PsrwQ~O%mx|Y#1Zsw7hz5EVQT@rzOHS(f zwsq1W5kP2y3ebyJ3ZO#iKOq4|7Ah5tZ=w`HTlr2yWrbRhwuVk%yzs2~W>1&=OgogV zy=YPbudrH@wgD-?R`d?IXH_MHC5Rq9Lhm;X$;>K$jrh@gnlL4Xv>4hVwDi>N{zKBvFv>@65QegfEg+MziTlRCUr{+V*eg>dBC|?IT z0IINhD*lmDAatR2WF8(`AUX%X7a7phd4R9E!cdG-aV15+XIl6@NF0d3ex0vOBU z^;>SHOSz$^7GGKfLuh;)D#H$Dxsp=q`RtVwF1$1bssm~BVzx5vE?XTbq1wWB~7$kn`xR5ybW}%LO zy1=-?KNLZp2!LsYX8B{7HdJ^%TIK!wpGr3wdYcTo@&9y9vfi^=9`?SY=&g%Cl}XA3 zSfUu79AwdsL8hWnaFm4Sp?t?tS-oyM8VHd}-~pd291;%rF$7MWWZOa0VVcLLCTF^QQhJpH^SNS4w z27$WQEY8ke#MYI?uF3+O(k>`(`vSyowhP+)Z&d~s)It1`nen^Pu`71iCWqNABea?n zw1z8L72SAM?zKv~&#K<%R40VEs-!r&(Lz66zZ^ZFZp-HPYTkDd?^P!FMyfQ7i`jfX z=qs=z(vZjdmU?b%c|ESYpNp2rBDk)n)-tLJy73{9DewJ*>io_dC8CAeb_Uv0m$aqc zi|1^%X0R1D?|4(csj?%;QF~H^U504;a25F+b@^gQh4*q5Oz%~ij%!)9-Il8LO4;R9 z+C^$%X1XOwLD2%X3{gnl5M9p|sW3$Vo)x;{v)2+x8uJWvMCN8CVp-b_?lVyR`d`2+ zpzX1658NA9dOL4K*0&XAd#VJ^I?CX>ocyiXK2)@A$);@F8^?6*rX?eN<)+2Ta7ntn zr!UiA+VKos6<2TCe9pCZQQgUYS@ZIy5Ar9xkQxyN9soBy}#S3gTR5b97#A^Mit?m!p2 zOxGy6vO@7SS=8Fez>*o+1!H0YY&0|rAazWfL>?D2*lW?G!gbhJ5HtlJof zs#-&#vK2g4OIv~RGw6s(;t(ALdY`f$#ZR#Gk2P({A8MLVeM8DmXz4?B3^fHxXFUN+@D=bH3N%C&pe=66xz2x+uHdOS>zf68Zfg@f zwzUZ#P|atmWiu?cLr@w$LXyK@PDl_w;1}D1pn}X6;15Yej|+r3{u0b%PbTz$hIGek zCD{W(Jp$njNk;KlgbxUvLv;yrWF{9MAPCMM zjX3&twhQC1Gs<7#g0M5%rwV8~l>g$eMjz0`C5)?{@q%;Ap|6hu&f$h1aqQb+1)j?V zJu9fT*H_HfvVzJ0@I2$#GLzK1HY$6P%!ywssBmU3;%{Sr}aqh4<>bbwZje)S& zCmw+F18ah?^QVKl$2%4+%*x&-k6|7)*g~%qH<7iXRLvlVSYMn?!faF)PBnS&q726I zhMIO#*EHSeE!-S(hN-53t7)QXm}(lRO7B>ps%g4i1sJ4~uC0Oh-*+9b zYf#r{;jKG4dV%=CwWW^fKQ#+>RJlD8zcB}Y&Z*yV0vt88g(R)MJ! zy;AOg^M`61rn>!|s_8pS9TLIu_X!$u9Iv4&O~X{kI}(6p z;kpKzmk{mpAu8z|EjUwiCnW{u}io_?x=sSQ&g1st_C2yQu#nEagg z1I3b|s=+QCq?)D?JJC?pw2j(|+k+4_O{4arAu1%EJXMX=-w092cxO}qj$s`Dhet;B zm#%5#_#r^$!veoCzS|gE!w?lqTOev0cuj+qrwu3|TStuL{*(4gO`|k6RyS02Yhy!o zBeCFSD;Ez`1uI-G8ki~;bnWm;`p)O+Z$*{n@r0F{hFbFwm9Y)cf2zm;>ZNUn%yvMl za~%y*PXHiw>>;d2y)%q1^*e-#A*`F!kG7I<;Wxw|38!DR&`2<*PL$o@}?E9 zJx&4+hhS?3GjUFXU@OMM^1ZJX9SZVH# z?g{uaY*L)JyXyrp(O~|9?n#K&rfq82t;$vnwo=rc&IWN{u@%iold zAXv?0_$*nF`kz^C;_6u;h^la)h`Lb`SzYBX9IKA)m688$%<6Ech=d6TI18|Q zL`pkjsUo&Ik}Cjbi4qs0Rpn4Y8WsNk96Bl(tIDC)S$~pcJE#I-ZgiXiC#)UL10Y@S ztsvHxKq5UW7tgihCKVnC#8GyuC^tI2S+Xfps;%+zYr0WciB zT&;&=m7iCNa}zY6EVn$cGz>Z6Y5-*{4@!NbB5oSVucgw#DGz2ZR@n^qMY~UwG=*8e zt*UVvvwlleLlynEDSHD{#Y$>D4=6QjNo%=%X%4oeVc{>e2?p|eQD_LAH{qd4Pl5xJ zp2S5HIulkkPI_Fz+E+aU!B|Pn6){Bev&B>o1zgxA8^gcv~h;PZ<8T2`%sQqIvdc|6ypLcyJ zR|xu#&g6w~yN1;$dyTjaV1{NCm5xT0qo_P1&)6%_g`YM0LeM71oj(^$iP8ld9nl+7 zvj#kLR7*kQ|L2S%HGz^2j9$Td4j2ipmsH%lrq+L5`*t-iqT&D4x!d!~3Wm;ZhNH?^aY zWt?d(hhkgLBioQ1(J{=+N3%ap7^%$2U~rla>AdRX(~EO-9&CDn^c|D!HrZ*B9z$23 zD>Ic^#qlA}d)sjE+tt;r$lY@lZz6BsqMXc~zR@*v&1A!`+O*HItDlr(P_h^c6k@g; zNpmD_i)rV~8wlh=hF!@tD3}Aw*7iZRaUnq;-MPzl5I3;xqEsGixI}<$PKI@)aeJ;& z8*1EGY}T!@k+?Ad+7i(j5C8)MMQV5$Vn75&2agDFBmfx;gv5X#GT5Pnx3GnVM~OcW zzMWkEWI9Q;V-7bA+(L>mwZ>aZ_1O7bl3F2XMFcKR;e&yyP+&y9fiq9T1_->!Bj~@Yz=|U^ZAxF5yU9Ul zI!@J4$I21`v$QNptZ1l-S{>s=!hu<4-H5wszWDv|eEj?@89O+^z!>qj4XjWQuPc+M z)2$^d!-r#2L~d|-L|Pz8%ohy;|9}x2HodZ?UH(Y6XtD>kFv(r%{upAUx9lp4>Jj(t zfv)`Lgedt397}4FEfGXZ*fem%p?9t&R&)xvo|K)B`*uqd1E{O5Rt&z2(5Oo$(q>E1 zNG!R5mg3PEGDB^J)!Bj5T_@E0!`tcYF>@^3+Z^uTgyVUZN>Pkc$6}4L!nBtLA3)$qXvP}xAd=CIjpC(2@&h}PrIyt9ztySfaTzVqC$UP>Y8O>EZ?v@+id z+0q1Ty0ep^n4_)Yk{hq%K%^p%0at}dL2Z);g5{m5C&L?GW+Uj|H9LByZ_ebn1ZG1i=S{dLU}9zl2FYAtE0k6& zKQL`{NjNGHyC}=(y{s`OSxZ{;-8!3qFACYNH0xTU&b6#GYb}G$GTt<62vQpHtE*($ zMPN%c*o~D<))XEtA}A2_tH@r+ga$=YF-O9gCh%f0QA}28@|d>Wu!1wPw;N`H6_R<1 z1LuPH@EyjK1CNYFi#;uo??rUC4d3@sL}7;I5#MFJQu%PLp_TahMJ zq=+53`M{CcR8gBfP|8W5=IU>jB!b)2LcJ49Ec|H@L-w2pn_-r1)pMrw}06X zQN+tuAH30;b3)j5^q^$)At+*U@tg(ZPF?Bso4ymKd8QPmIZyr>g<>*>zv< zRtlu6Z`wz1u~dwg>ef`qsnbq+(8hI$ly>(!(QRzqIGjO^@5!s+l9* zs5u3|Cn<(XWE0C(szEo)WP@&&35Gp&Oj2TBqz&}VRM+GS)T-XT(_$jRavG)+KUO^0Ng&+~!}cZ>`eGye}~kKH8Bkg|Lc z9+%mh?>r~R1Pdkoi47_jGWsgCjbU&!4v_NZ@X#i|e5kQs3uB0yI%dhYyxpmC>e%@+ zef`6U&z}n@1_BbOpuinSw%Uwzo4o5in?U|D*F1f~kD6vFYVopU(pOFQSQ~`FzRMOP zP&X}ekY|u8;smrWQ7MI)A9|K93{qT z#(bVSU}*_X;Grivy-NX8Oz>EVj>eBlmN*+MhC#Vhx6cRdgU?Uz50@!pNJB-)RmBy{ z^f4}vROO4gG1|++9(wPsW##6%L>QSQ8xjnI74(gwL9Cl)R1IX<@YYJ`eg3QLYDgcj zSY+E~#uCbn>bCwCzY^62x`+h=T8?Grr&cLM+lsRSQj#S^r@*mAv9!064Mo!%ikzIP zHY0YxFCRxp4QAb&n{ZG>qo|hlp+hz(4L-695V23U^)r_mJ=?Z+!N4Ubc7?L?Cak^I zHl-UJ9e>-z_y-LWwad>2z#0po(vmm@`Rh-{t7Iwky;iIew^D2sn}I9Pw*9mCh*4F1 zk1=idH&|tO8>~7!Bc-iZc1x;At=hL5h{f-={{mLi4~3@WD~|HV`0XD>^jO_i@*d-6 zr=#LWrYK+Q<4&E zz--3ByNYHaLc-YkESeajBc`P#(qOE6vCf%pd3kuIawBBh^sWgr`w#I>$E1-%zDTdQ z!I_g)BInr-NrD_FPZrduXG*HmC26Y8c4Vrd{Dl$O(^oUvyyNL6#jP)IvYs#!b$Xtr zlO@H7f88)hr4og{@Q_Olb?a_WY;T6)uPliRHYU4;Vq4At!|qO7ii3{sy%!o`25nXY}J0I-?kd%MTMt?0Yis-daXSNUCAD%Pw3-5uuvL#9+-KirH z&uYEDe!mxivn^*wQfaHAdilz!sw&nIDul8^Q3Bjy9Pu&tDhi)7F&pB|jGk;tc@pK|={6TM z_5iUA?YfnUl1lf>ctkEjGhZ3#E2>D#sj@G_$eWG&t$T}Oig zLa|nTFxVZ$rv%jpF#puPb?V$Ww5Pl?%s$rXtEcZ7xuodp5-N}E`lsQ5wWpQdwDOZy zUe@~K%4db<NSLHQ35_+;cal#ie_5&t*H>pNfDfv8C*KCg4n9_oSYVMp@ZTpRak* z@0=5Pr1bFA@A8Og%oqMyhB6>OdMq{975ARDl^#3kS#RHBWY@Zd<^6k~R$~mEZ3|u8 zCQ`=$Q-fAM<(|7^S?jhnZ=LqSeWA>-b+d(Myq+(| zqBsNA$5?>z-v!}bsDoBdWtCGP%J#Hg{!0-|f9);_uCc1!!PVE!n_+j!2Hy*S;Wi&| z_->g%SwqcnU!T>!mnz?TQSyT6j;qPtqHE6U%!!(Fs_HiHX0)>^WZjN}S|Tr_ra#>K z3$~S3HLfFI3tDC%6_YLhTFiOTb^g{Evo>9hQ`Jp$;8B6sf>M)jf_7;{s|AaGd{pOE z*7*F`>d=wG7E@@!p{2!LFe;&Kb?eT&|Eh|!HL#nV@SsDwHT|fpJq!!J%rc= zFNhwruZT9X0Br^~ld>)X^zXYCdlx|%rm1>1$d(ld?_9BZ@YX@aF1%WeR^#T1&ab2E zcB;tdlP5z%bJm-uMJMdJ((Jl5%~>43LS$8)*8k?pu&=isTDPDUL>01{n6b_fE7i_k zKCWx^dp}p$m1@#QH36gcv(m4l%H+D#n%6e^n74tLv@=ATYti_0w*krYwn)jBXCQK%>ihwE9+H$4p3IF#x{bM{1l5<|0w%8Jy*Ge?koy)XdBQ3;D z5`D=NZ9lO3Wo1jJ*4xoyHLE27V?pvZp05w~y>TU&&vS9Ue*V@K>h-lFaD{{I=Hqwe z|5^O;AT&sJMYmnQJpQrX{O)TT`1AUSP{qCfljG;t+o#L_)05}_ICHc9@$q)Gh+M$G z=hwP1Z{P3hMa2@yw@gK3;1n13pe$U9;@a{bv{V$!>Z~v>YHqCrW%tjXaeaNhcgUTu z1C|?`BWMG$0oy=4PH>|GY8?-6?$3tp;l3ZJ(PIQAqB;>;`~;&-;3J+462|E?7=@+W zD6xQ&=0 ze_!1BI^5^hSx`ys_79f=FA3vAQNTFk{C#|K@%ZuE)%42*Gln@P;3{d337+Cl1tALa z)7yVv)$@OI^!4`GtKyWm;X`y{Lv;2FhOw*Mrj>2%BARs(&eXg=PM-_hO7WH-sN z#Ch^`o)OMkOTx?QrlPNaxOrCWN5#*P@l)bF-HLem>&U!b(S0yQPgY^_ z_KWw%?{sA^jJ;#ezn2SjEQqisPVETPoT`PnRy4Ej-k!^p6F1nne!q+18*#Dhx`X=m zVqaY2URP)H`Wr_U$8V8F$?rOjgwr~sPq`Hrr)^#+6k4ibE-A{BmlGx3jD_F!h1|+j zh6ic?f@_9-Hp9G-t%pZmC%5pR;b||Zagi8hHs|Rx_p}8!bY`17xNl3+Y{}1Mp;_2DQOhg>@{MLey zm%?)enT_iT3LE!dNaW+c(sx8};t_GSpjQdQ$as{r*M?R6Bend?Xl)7cTec_X3cs_L zM&b#42_dc(%J(ItCBxa*ZhsN+$oc16_L5<-ZhY967f}2-zKHQf@!8*3Ctm})IhI4ox6(1qttH!@l_*3~+V1_q1vT<2$#m1o+7rzuvH-Bn~OzP8-x0-c- z9hpvcXAAFD|5bsuTvPrMIxQd4b%WEui`Pvv1sKdXgN5sSMMX-vCV4}7cA3$jvnjT<4H&Y!6>{>fJZ&+sB;NrKDErFZp56}XIl7g z92bmcn)WI8F3zJ{bW>G4p#ZQQbn^}(Sr%FnHlLiJf0v-4Tl<=C-)7bvd;1~|mFJD> zV_9bcd^en=Z;jx2M-<# zR`)hLw09@32}e=`jGwX8)2!Z5O*!sX-c|fw*1EIm>@_&=?9&%Ku0JPV-+kW&U^X-B z?kh{*p@|!oyRbEKArsa_e3#|~A~gr%4STrgEimCsaC)(f$>*Z7D=1J~!e%*b&xoL(G9#}>=VGH0( zr?{&^REZ}oU{-#*LN`C{i2QhUrGbFh<15UoOOMVx{<-|@Ri5u-ALwH%b(JRNi94b- znXVd?-YHW|&|tU0`pAw6rNawrY?M!7qv!LjK@lV3FofN)k^sg_}%(bX?^5JtyRZF;l+fT#VS83 zlVJ4jk(avRH+jv7rv775$+`=vMY z{MW5OSs#t86Eml0mm8wr-64hV5CMbSeeOU7FGTqjN_|?=@2vXH#(`yU!=R6FeMpXI zLO$nDk71D~Xh`n+jmk2gFV3aRUopS$t~^Im%PU|<@ie_`W%__uXosqxVqz0eg1b<6 z7po&}Alf?;e(qILboe7V*U8L~4)R|rctgE^D13*^xh|Ftcm7SUk7w#*tdG2p;?d+~|LxNpW~LiEpN1ehQ{j`gVU$A;FBsayvQ_cQfju~T;YX0^Ja0Q_Nx$ne*F5p{{2XQ12RJwE&B{yvITFXf7pa>ZMj!m@1Pf`mj}MBdue2E9Fb7YIIF z=Y-&vp}UoMUs;all+C-axu9iT`Tlx2!^lVdG9$bo>yorJTS)P?*?vgW+iPQ>VZ!wF z=@a0v=4i~9e_yBd)7#7I*-c2`WiZ%2R;ntB-!Ip1`Rz4myCo(qQA~2sonhbNnueZS~nKDNp-BX?wa+4Im^K2I3fEddA#ZV z*p7XT@!Z)%ySgh^WQT#ilei00)Eh3)MEu#7y1-zxUt+qJlxD*(u{S!9IZS30qkLdK zG_oz#$kxYSU*5icdm6@!;$7|Km>V0_WbPPX{WY|h1$Ow7=j%gK4vY3LKE9fmL3bl& zxf*vZocR7_b~=azob)aJX@C6uiMTaDgHa{P&$-B+WfMwjFIujLZk=(K@!}^C$6f!q zfOjl$4k^xy_pm}7u})Om;ijbIyqMPK&lULJ_@(hZcwW<319BEEo-KnGkCs7;2aDjv zBcxQs;R=5RqW5LGuT2_A%aes@&tb{oI;jqIloeGdaoto06BMX^;ofMn89Bj1T#}td zNe-XicPbukRIuZcL%Gn)S{bz%v&4#{6Q4yfQ27c%EfmM3AyzURNjQfUFUWCfZ;;}$ zk{nv6*%j=^2k`LNfMrVtA>6B>(1IPrznBxya56(9xw>$?uhOqR0}7)WrOl>bC%X+K z&M=B@nZF$m#XVph1%IWQ#2WU>Wlmp~#mVf2z@3E5UWUZpkBsR`1=Rfjs&QIE?gT97TP5Q6~^|5LRlVwr7Vu3!UyNy9mkh=_KDk0>T2k?yGF5&yxk z9|{z-%nF7W=J~kklkKqu1nZbVXOSSNStq-5 znG!NQR1$oR=H9g&#m%U1WTRYoZNZC^n&hA&HIz7k#+E>5xP{BzW%} zW~EZ)97OS}%sQDihFr*;5Zg~5?;plQ1p2I&{i0mDa>vU-!^a0jm);kNMX&QDDlHND z2jFl2Tljd+z9n<65v7lN{_M+TgyJGmrR=-ojh|-m!r`R-QBw1AuA$nUgF#OBbZMoCg=imi6DYc05<4Cz6#(WfD5|t zU1pnri@fkXB8b={w+p^NxMB+dc!U8Iwh%rwJK>Dj2LNlVL9k8U62pXsO-r&Nib)Ms zOS=(@$qm)S@SNg5%n`Z2Y~aDf_AjVeFmIUM~6l#FlVY6w6Mc35`9hr}NaD zgSkv{s}^df29Y`I-{a2b8kpT0G8UyBtfzCdo$0>I&D-VM+qI{1J7}+cvcFn>xY<8e z1<~cC7?9 zh(+&+qqHEUTthgE?cV~1y%Liz;I^pSt5tm8PvgR04=Z0^67<{=5zj@^rtN)1pbQI3 zzVGHg*A#xa-<#yFNsR0QpGl_@f#vY85{aM<*=U=%6=-2_b2kI+?X$tsKRF-EpDiOX6?cY zOy%U6%ZjV|XD%t6xTQC7O;X~Siw`u>u1L6nV7p&gBlVh}S|jzUpIg!T?nmpL)N)gO zAC(~@_Kz;SVkqG$1s|lsQAarR@XQf-~A8m?y3Rei5kiu^B7(p15wtCCG$vQ^gZ)M_OxK#nViM zU`zhHKeeZgQs4o+em{><2KhZT8xg6?&wFfsKd*7(ZJYUyDao;)_xPXmtNMQ4L%aFs zEoS-texBoZCGW2NyvFCZ{Zov-#q6sCMc(f8di3LsUcnE^0#p%Ll*vSJFPhNFT)xb3e7!Z6!4*`SRkmmzjkQYcDBo1N+Ap*O~ zs&tj76Unyy-foGk5ue9bjmD_I2OU2){d!q2QY*^%cN-bB8t7LmU$jbgb<96UOl)a6 zcVlGo&DDXBUqnk@l9sJYD&#rTN87!2vESY`G@*M;b#zw^=zO9mr2GN6X=SO zx9g&xzh@U$L?LfQA)iGdKT4eq-_|uhyCQvXI{nh!&{_6b1KXrZ*-@0EZpZ}|diTw&C zZJs%~BHjoY{;o~;yawN-ru(g~_m0l+x_-UqGdW4%Z@jqMr;T4%j~8EN->aorpAUEG zzy1GKHhY*rO<2>pxUGHUS6ODu=lWN-S@OAl*KW1Ft-Q4-i9c07*{Aydhgbj2me2L8 zzGeN#^vIIW^|Q95boxK$sb!z5Pwmw5|8Ake_Z&ES(eb^zZl9l}pt%RLmI=GuKL7sL z`H`^C=l&v{rhM{p>+BwyI4LoUEc)@Uvs<5N);|AZ`}|Bl_omRsQZR+smBch0x&T|mETR^9v6H-*<=fJ=KZ#0~FTa&Npv={1??C6v zoon~t60m>3r8@x)L%`@8|AQO$f}zI;)nG1 z+%o+pdSYIW8>i3Z!pYz7goowmL$`7I>EYA1bGTLjPrX{$O!18H1`N?vigDl$|9x=q z0?)_&x;Ll}p^xK3l!JpE>-;O?00(hUlOX6i0FwPFS6OSUd+|goGrWEpzusQm3!=_? zFpqypb)DgkZ#-3N>-7UA-K_`H?)!a%bA_*@XXdVLrbi0#T=l39ir}O-YUi!A_eom1 z=s`-F=tatzpThRAcWLjVwD(2Y8&XO-hkSdupe6K0%KMMB_rcOqn~Jb4Zd5c_bhj%K z|53`-Wis-9HQc1uGDm=RUF7wZlU?R{#f(UoX`Z+#9qa;G?4mPH;HrpvkI4GnYicU6*SJHp780>kPZIlZ(fJU0SuRMcIags zWu)y1k4yuUKIs)ghnUT1MsG$m`mv)?jb4mubmRL`308NLpyD0R%pGsWyIu?k`mw?2 zhl5d%0}O@urrQx620P?%=HlqsT!~I>LAq_r4NNX(+{e5%a^hP>J5iXb;V zfd;W+P(-^I0P$+x}-Y5 z#~Iza{v0Yqdr>}*16gt^3>3s-Ig1uRAgjmPN+6NEW&;elbRu0r3%OLX$_PgXs`@82 zx8A~qQ-AZ#Ni01%--v{q-&$gB-L;pGl2bqTZ&UM$fbc?B<1h3p2A~i^+bkGVJb*MR zIw0FD=G|Nvfo!r}k$8awvRxV&frK;QQcnTPB`t2Cc9wgRiQRPr&%ls?q`jYj z0+Qof156-+3|EAVrAx*FFZens7Ej6~o}5X{np>h(H6bDN z!k8hykF`ARvkSxA1~orX`@_2f)Dp`_e9cUJJ)G_iidYg^Gaplfpic-V!2Le>yHS-5 z*w%zDe((lyxRfzNHlDU{(8kLK0?usN7-8RljW>@OV}@-Y#HRsDmX3@CF4G`O;*E?2 zDr>8k!BaDpQ-z9ROu5K` z#v)^+8f6$^xv_a_D41?&h6`m(Gryy_MtE<96V@+R*Aw~Z($n+IH$J@Uy90I~$C2Is z$_THA7&y&nG5Ps>t=mj_HoOk(z)9B2{%c8=@xK3VC*y>$I3u!@RlQ#%`x>eP$JtrM zhtdX~{=g}c&3&Yvl6Lj&cZq0wL0xuo_!e!d<<}M{-T02q+^W3$Q)X~Sc7_kz%hX@I<;1q*mlE7`E+w}rxRxI(;#-2n#J3#_ ziEYEKCAaptma%JMzlg8P$b%nzr|lVh=8J)bQIrUSfWi$a!$Ui;Ng3mrG0Pd@6GMgetCvmT%D@fsbMJ}OS)OI_ao;Zd(0qVgg=ADP}{3u78=5m)HkXFXB~0ddwn zm*N?|$UCCWPS;};d1Qd1<`C9e!_HX|-~qGdcoSFh^z39$)&JW=%qPRi-H|*457;b( z4$D1GmMfe#mnc&nFy|&3U(C7CUD2Xx7e}J8vb1bVt zcfKCk4&icI;BRrA=YBQIjXqX>KCpK*89gxmPXUZC=@(JQ_+d}cqaZ)ZAa~?>UNubo zb0Os3rog=n(K@ExyUaN&k5y8fs`UN7OWN?Mn_Sl}X8Rp;1%-yg1jRX)`eZCGM7BXW ziFms6^hf^(0lH1F;N|9!!VohE}bO@%%f4;Ivlas`$M?V<6Z%aI1H6=v%)w}liTUeRSR%Y zoB-OTF4}v-`&2Yrk9&YnOZ$F+&|7$915i;iq;?6e4Djj9c)o$55@ck&)6{0}BBV#X z$f9DxHwh>jC9cRLfPn5NT7UpHnBCK!C9-7rttsA~3;d?{pY~wQ2uX4x++Q#(kw9%; z?hOzkpZ<>+lmtte+A;?iKmU|VHj+fo8_OOF6>b8*w&6M6O0>@oSkN8qGJk9#e(_?T zq;YW2rpLn^fw->m!ylw^Z2RZCOylH;lFZRMv;P_a-pMIeD!me5UY3Ox(wn~8z#Uim z2u1A_E1`3ee-{t>PJOaB+sE1peaYtuJ~}_gXU4*-bng6ji-!*Ln>J7BW zC+Z;vnMd|Ghr7x0ph@PEGsKM#z7+C-f5^z$Vw)ll>UFeA9gy^d3MmPN*ZTy28HiPQ zTf2uOm_W8Q8jV0vq}#JsDE?ny`uvE;@Jl`y22P@1`Icz=9yFF@Qt($Q8JE;(X zcs`y=DUV0Bgg5c6K92~NDcM{Ym2%fmG;DIqDFF_FNTf-{+z}OX(kbcO9~E;|R~8(F zvK%BT=Bly`fLml`!Aai!5Yx_l^5o0&yO|d6<*&Ezw>ZYLUEQ8HS*<8U%|+tk^T*kD zH-Rp|Fdzuqz_CIr%D;CK-h~t1g%SQMo`NrBjD5_wX39Z7Vt{5yThllicCy1_hi-%w zt|>+|fjOSqiwD_>1%btO#RbPubHR*q#$(&!QF-vJIC@eTWfx|IX0&PXq(JDZD`+Og zcK7`9I`ibUCt=@db-W~2KeR|DboNf~bk2lG?{v=;e#>?6sGg>wWA2_gVdCz0 z;1ivT5Pxf&Kzg9i7t4<7TFI5a^|kt2Ia+R(|5z$G7b2Y4exT@=I;s4JqJQdy)FVaT z^bwI~iehC=`KM%mJ$D)-wJt{Sath$gh>&;69MW9|NItb8b|+50p`C2k&ttJmy#2ST zx;qT8N7s2`Y^j2d)~H%KdZO#NBO!f#o?eel;1B#@k3*n=zH-%gbd-v(sYn=Ob$!gl z6*Y0w`&2|t9}`h5um!Zuj99VHVg^z%6GS?ru(Ijhl;8G9PNykbxS!xF8`XO3KWKiA zSK-ac0*5LBGP0BR^3Ul?syx4OU%wt>CFMHeQAJLlY|pNW7!ZILp#9^^Cac*}pa-_(oi4(rR%NWTFY&Ej|q*A%UrnR4Ta64u$ELPH0hpi?7!)nKibWA4odu$yl|78cE~HA0F#1$SgXVn5h!Y*{g~W&= z!nlVj_SUMj5w3!zjUaXGU-?3uXH{b(c;$1!-bRoLmUe;`i;W-^ZvvLuppY>t4b2@! z=xAbZSk5h9FFIYWEbeiDNm{jlfd09;NZTh4GTl$l->6N}fhExI@| zV#AKzRJiD}0C-EC&gq$#D&n-dBsYR(Y8@!+(IcfEn5FZvA~*y2vFA+Y35tq~?8Kyk zoQ!_z2uZApzW+OT8a#~&t95y~QHuA1Zv2bK8%>W;Eq=p$Bv*XLJA~SdQ~j>A)43=i zcQ^!lxMNxNmcCw$Gm2#pr5}1r=7idq>@k%Q`E4pA(#KRSoe`!dHB(ot%5J_R3Nj6x z>NIM+E7j?|8V+B{EVLqW}%f*LCclr1HdIeWT>Ihw}CqD_bT zBGb8vDdW!!=O&Yk$C*xT=?j?#R&*Xzb!W7M{Q&9l#W?gNE71#*CwgLdgh=r}<}YEN z62feSCs%&m8Efy#D*1Xo>?H_M!g^OwYlNblyvtUIfH}lm0go?bxioC^Cx{6}zDkaoZpQx%&(Am$xffczG$Al{}SK9qu zOZKmr0hre@Dq}H~sSnCe(g$b8<9AaxBjq4_pu)ZpW8x9~203G_5%T~2gC8AK2jAoF zQ1ZvBA@Xx{DC=Wo6Wpz&`}~U7kCtCWbx$HM|8*4Su_dbk4d`0-g|X@QS=7IRhMkIk zLUX&;swYWy=oye5dhKTZ<_R;0UH=+}UB=tBGxr?5fUO!$!TTzCS8TjH zQ3==dJ1py-z%GPcghy`*_~jEiHd)W;L|R;g@L#a}Bho;70``fr19 z>9@SgJ1uctR{Zh)pfGgb{`TKXgMJ2o0e7 zs+KPXY=Qs+JOP6df&ruaPY5w6?4Mvnp%%?C;<3&cDehWY*q$JyC4@qRp*e}X5u(us zrX?S^n&hJt~H!|Gr;d5KGARbA~UBri5`b+$)1yJ$8BCG=C^S)Ndt?9@ap<`bLV_FVCXWPjhp} zM9dGv2Bxwnl`)r&DL^J*Znt8ereo6@la0kATQ%a(KHp7YL@Qvbd;CLoGu1g<49BM- zY*;T>R94aL6!NI??m;LdQp`f)9S5xDv6lJY+Mau3FNJ%-pRG_9RwaS?#+5S-tCJ5A z7aCTlQ6jFitY*WirN$MDjA#p+VHn&k|KFAaVQ71AYq}c?L&+SVY%PE0+V6&r>~}Ls z6@SsBnMh(bt9lBfO?R>xPW<9_cic(D^@EX($^2opA2oH8ILN=W!6EDE61e?*j=!F~&wvZ3eZRz~ z4gp{s?Gk8?4o7tjft8mD_vZ?Nv`i@Wshdv=l*#o|GJZ*b<+>EjT#J-Ua`vei1Z>l@ zNnNDF0nL~wUARid`aY6L`+pSu6zcsTC+yxS^flG=N7egl!M$g4%FQn~PGi1i)3P{F zSP5TwKLwsSiyaFdDm971Xz^pAu}`CTB8CqZIvPA!=>HK$iyaLcAvCQ+cqgRBzeX}^ zRj@vGQ^)+=OB?rfw;Aa=k2%%^O0zL3<=4=1P0Ri5|@iQ{_U7W(Kcu zDHtvGt(xnSHylpMbtCCDdC1;%-vSi74w);CG-Dr^$kFl1kImg z+vW~-Y}>YN+vbjK?bx>M*|BZCvCW(ByZc{UTtw!p%!+=QSrr}KRo%bNd`mR`9=E6H zG}0&Sm~V`VO>r!K_P+-U9>jtS{`|vp53woBokWUAa_N2DDn0w_*LL9*;iwjm(IXT) z;+m9h`67w^OdG4`O?a^Q7^l7XCMOsx)vq#u;Xo3`BezVOr^LiU+IUm}c?SNTs;+Ab zA1Zq_OW7R^EwFn(D_5@{gB-_ZKGqeLN z@3swM>(RmGm4i0_dK%&kA%{5nEQX)Y7m(dv?`Ihp;W-c`Le#idh&X8cM{QkInVP!F zH#N!`I%}l68W`&m`trYMYx%7n|FGMG^xH-zvq{?MhG5=(jJz*z&7Qbf93SD z|9rU@gTc5*)wQC`8?Bt^xV-_FtS%#gZz*NWC!n0Uh zDuNr=tjD%$D_HBtQyZ=5Zs*JP&o2W4QiW4thm!DFHcj;LJTZ#lbJK#|u-HGBTZ3Qp z)@VjeNr^r@jTGCIWqjJf^Z8%qEGh&n0dt3Ru2_emlcU&EZsxpPc!9APbAiw?`Io2c z5&sAX_H{3VEt+oK&_oEf1O+Y5FDb)$?7)I0;v%i5$!(DA69J|M@s3wXE|8q=&kAFd z^{)^aF=YI4t{y%4G{&^)#T%Jqy3lo5anG7|)JGlEFoJK96m7h*ri{UkIMXuqQ z9NJF-midE)NGdufG7zj=Hh5v5GJY>Hf9ORuzX@T)_5BU-Q5293K@PuZy(_q7Z?h7EpD9E607QZ~ z7}*%&UxEC4R2oW{++rzkiv3HMSL>y|zpSzT-|6#E!u$jjx7HZF7`N8wy-)iqHQ%C% zDNYAzF25GDfv6P#){EiUGb;7d=2WZmF>tJqcNz14?Ssv@h{28kR*gHXFT@2+29lDP z8Pr9X$1+B>8NV*i{StTIbCW(Sn|@nvDRAnFPVxpSf4`jHYqNFRSoPD{%m4aK3B=?Y zzuPSqev%_7V~IJ3WplM2R$s%taBbGXB*2sqkN^az@h%Q5yD6XW$*HA(fSM(bPMfLC zP0O{{pW(l9E6ig->*gV?0!m2LKS-(5(@D-z3u z*xQtl*_=IwQE8sYm%$*_wG&T_bK5X2JgZr9A-_yLt8057RLHS)UW1>E-##0WZ}p*i z6)zKOv9hch2*p0y?<2JGdo))tKNJ?CL8~_qDX!BKs5LG9`?jpMzqR~4W1WAmkL$Ge zoD?}f)#Q}5<4j=plLAu=N(Yjx&OCw7*)e-DaN`YS^hFVZc|eJ(n!8-S0F z9Z1(U#J4ZLGnsdZ^#`~1nW?U_8zEdrl+T%?X#sbP_0p6X1TP;y&-a&)*Ynpku$~QV zN?&kP1ubK=c-n2K2{K zl$HMxxwAgNh_Xm+QRm{P96>m>BrmFdxv8Nfg}AloaBJ6O)2`6b-nlBw?|27h>u>Xa zAJY!vr!VpOmyx29>xr zXnsW~TLS*&j+od9Is9Kb&G)gCVZ%}|XP70hSs@Fw8e7gCDkrwaMp*X4t4iV2&~^b5 zZ9Xb+n@a%`OI#Nfd~PxLzqB}n(*RNkvHUY4&^itl6pQFk{a|3rSs)vRcmnvWpWx>D zEbDZ!$*5C9r-Q+US2gE;lBL#^7dSON@K!=qWMG7sW@lpL;^DFUQH_VJBtjGulv_UH z)EqrAX?`%_tw?$VmRkn!YQ~+Jbmt{K2FWeMa)Bz-C7}|q~Vz>NnfVeYA4x)A3 z$XG2KmIUG|)Ur)*;2X$>O9A$uegR(!1wN-(R){YCD`g1KBT#~=2p*H*z`KMv8wLfw zUCHc#QCE@~nT&s3N0MP+J>$?XEEK!%e*@xNKuD(|CNug4V*YWQDdomCT}s7(uAtg_ z&dwoP3VX*T;PdtJ3I5&j2=rhDz|W;KO$5LGjP$txz)BD(?f`HQnMf~T6uaU%0ll6eey7kcwG`)I z{XOCYDg6SsWBdzP4z==!y*O}(uw8GcujxPI;9fi$Qew@=?O1nf=z}lL4?*N|QBc%H zMG@e}YoBg<3nG79mYj8w6_P4F>JV2u{gnxEj34Yf;MNY0U^F&Igehv4RUIic(=lI_ zh|_>$iEnoWiNoYafU61*9AmZZSP6uL{HUlpV{&hsl_(3wK$s#@mjZXj+Q-|}NKN3# zVzMA!`16(kd-4gmGkM6kD75P0QVE4S9;d-_O;quT0Nn)BdTnJPUN(w{7k#*sacbTh6UYIBpqEu(QND5EY%7c}qbria3I#vBSIJ|;k}Z8B z<8p4dooBXBmZiSI_WQoSJ@A=A>a5z3ClBe}kq z6@x2~K*4(NVP&YM3r2d*c#v;swqR{|(V=9^5RGOyQsQ;Y2-IJ8Fw^zDHlE^M8nnwp zM}oCXz`epuFlkQkiVRm7(M>l`2qzqvG!>{N8-*!ZnQvoY)Fg;IP?zVUgwn47)nth` z0O^=|{^pxHu@ixLrT)z~@#vd+{!W9*Zl*GG-KY~09oy=Ydt@|kpZcTaBg0Is2$+7R z@0hoz!&RQbp1jkjQ>yNG+>a{?K&b8iXyl-$ez`|)d=p0wb8TO~snokZu0Pt3RcWCX z9XId3XU&?_!jz$(W^MeR9ng487J1U z)CoJN&d%?AQ(^lh$bbIb zf#RQgWOehbNe4@Me*4osSoh??@H5C11Ij)9( zM{nIr=cl6`Q!>u#)kGO>wa@pD=9gconLbn!bvR^HLmQzvbpEcmi)|LJNoyG25f>T) z%UccMRh_2Dn(mXt4R)NZzpm%*$F43ANv!pMH|KsGoCgA!t4$*nM4VM61#iK@&pyE4*yky`STv2IEu7#hF z8Uj)7z&2uVU`VTPUZ5d)E4UQ`$MVEoA-`$<;WqLnx=X#AASI0wRT>Mz-C?l5f89Cx z7zRJydwu8~AE46sc2!Pzx4vpM}4};t_0le5> zxnUyJ?k*G=>+|oh5lB6ptvq536QvOTtO zZOcRE2C#8-sWgv?MwYZzG!?Wpk}Ol9Qa<-rMcUhpEhRH$_j9HxxG?eCopWFsmNHT zhE_^F@)8N5R>xf*Hf9hnITPYtc$S=6U(FNLpPb7Ow`#y3y8;Pj^Ed@O5!S4eYG#a# z`!8IaNL}m{OcY7;gz1^z>bWEt4mzqY9E%$Z`2d#G2+l~v1zJokIGnCO{3NhE+#5E6 zAU#h4*&{|>9BGSRkXsp#k%$qbxsLAFW1d4XEagW4$TDjs0h*jGFldNesVT49ej^_+ z?!UMhOmLgUgclj*KC$e;8O0w3y7M46Am&)iXLjw<#8P)^f{j)g{Nj)zoBUewFfYc# ziJ)E(cgkl?xDUd1h#Hm;R}O*P%}KYV6AiRMFoQxb5q4Fg_9X~0t#~OjJX?tEESN#={|Mu0X60LW}jbL99=VcE}tGl+B7*56w|i4;^~dD{*!_LCs%>&S}=& zZv!kT(JKF!tEX4g}^zw!Bv>`Lam-=l{y2W_F1{D+R1j$u-*Hb$uI|->48GEd@5)c zv8=976|ZRI{T5qtOv>0gaL@XyUw#ms5@cr@WuRUDf4B8!97lVjPJLx+>+@0rm#`nx zKFdaH3kmqoj>cy-Z|?&`E&UqFGnLB(rHsD=mq|nQdT5bt|5vtGR?jx1m=hX zKNTM1pzql&>bMskci>{*cA6@TyaW4V-5~1azWBU865?Kq(pNB1eluACT#Ka6B}C38 z#wyPzlYxT4Qo|Gj5==G3I@+RLZ1K$)tA-`!C;o>DNhV1pXGj^VNF{59B@tEGaLn1h z-*jdGR(!TV1A_;rw=Nya&@?9JhnNkn`*3S)#X?o*kxUyUD&dda?e~@DI;&nTNdnUM z3C#hI*&WjCoNPMpH0D3gxvr^o*KIZwdhT6svk#)KHT8T5Z}+`Z(L%vg@#qJk*3K=vP6Cf{=`OLKhOP)QBPN?7YQf z%cwrKGyD8Iz}Nf*hrqvXbNX7`j5L)fHlZFoh(of~Dd1d>m9qXPAZ?%)tLR#_D}G@m zj<=yQVk9Y_-@NnFu0OS>?hZc(A%yp2*5;p$f-@3tmi^%a6}pi6mH1j7cWTdHPgiD+ z&L4%%n}aUYw*##hl(SRZm8qlIwlyb9#Ir7F%oYmY>1oaG^E%moes?cdO9z z=FwR`$4lW&24_{XVfGstQ1*GpQN{_c9ipzvM0MR@*03!GLB_$}5OUp=7(Gd2`lI16 z`FxESNC!jWSsxT~cno-7TjU0GMj~MWf?j3mP3Y$!|&L<`{ zz7oete5b}r++f4%pf2<_w;}A6`~L8FdsI}9CVQ6D(Y1v5OSzK}J19c}0IQD8koTVY z%UED1axa$N^i$L(mO2F1ZxZ~ueJhSkH!Q&UuzG#FO@saDQCnRDW+~yH;-Ol{LS@8k ziI1yE$+pxE#rI*Pu^YnL@A|FLDU|*DQD5y`Db|i0f4}T)zux2AMan`TdHz%vIuHm0 zXn5h9AZp17KP*sH47mcJixv@Jk4tixzUAgf3BRF|`T_F;TA0FWWX179T5Q)T&<0mk z(7M|i4yRI|RQn!;)iM>IXZOAl(;9rR21V*2Z{h=4>_T{TMPG5;?t-_w<%ocoGwyXb zlqJgTKPdL?`ANyhxT7&vEbBF>OKBx=$hb?b%o71ev|``xlLyCBU*uX^WNZam zOi>Qne=9%HKVU3MT#LP@l6IdH$O2yo{C4+SyowK;J$(NqR=cRtdbU zmWNQ?3;A0DqVkIN*Vp1r)GzA+&NuNrO}t@}$H`pEP~K%tS^vpIgECBex@wi7AdZ3L zO=1qyqXCT|3e{K<7<7@s8Cb|e55LwDOi9UR(plcmCS&84iMUilMAq4= zgaNQhL1oRF1|kk5Bvc)ui|!MXu0A3;~&R3B64HBh>vkz#FnA}fJ&{Wjfij`TjpyF(LjH7b>-}Qaq0W>^?uT6%bSKDY#clm zhSX2d;mk;I0`!Wjyw6AUxio<}J26O{H3*uInjTvBF;3ORfg6@!|F~xR(+o5m+QixS z>hW8~yxcyl9Nd4>242}gH}#UudP(OUr3$bw*>#X9Jo1QkqGPLzBQ&goNvdTL{j;J@ zf>odNSZwN0$gph<&iKRLLLNP~&Z*e3M6*pa1$t75C-J1H3<0AohMM~~AZAs!`1%`?r_3 zu&Ytlp&8ouq%-q~#TaIU#%(0Cc48S`43t0RqY%Li9q*-bi+_I!yj`i11{yC**rI}$ z!zOFD`i-vWel3oe8_00A)HDgGWqJGyax67|+$CPiR`oI#iWzJRIl&hrO)zd1?1Lj7 zeHaF2$qTQ@%8zH4=$M{TC2c=dLy;gY-H-{mDlH#UXvH=L${?88dD;WfA8+ z35vBF2>Ab)<1^B0E2QQ9t!N=9Vi8|Aeq98rp~i{0p$3llZu)FiZOaynhmWsUS2C@y z=^#1m-}x&=4v7u$bSb}tMxHeCYX_NsJ}3bA{P6GEGynJUn?1xvMaV`mv%e=V zS3)hoKh-NLf&ZQ!kS0MRdFEv@^{Yj`bTNDL*Lk6M0ioNkY%)PnGCJP#hQ2a@c}taP z(5cDI^LYYOpU%1{f{e@p&}DGKu&>YOXsWlB5fbNp2QxHE>0iIYr#UgtgU;mzyRDHY z+u9g{IZ=Cs#S-T+@?8D$O5U8WnOa0H1FA_oLJ+lab&n}y$CfV$lGc`VgJMU1`OV`4 zJvsAvD;2nYtdXq>Wo`7ftC`^NaDJ4k6K5>;} zj^H*I&}&87lqxHsBZ_U3jg^ThY4QriVEQU?P4?6g0`L#~*&VnQv5j>%H2{_jRdiFc znuC=PSm|H$Y^GT9&I&ii!(%MCZ@00a{@m+31FLG*kwu^6f9U2FW#eSjE(kVyEMQiXBcb!Xg~deCVLTJY6zM zs9LqZlZFRDX-P5t1zMptyCzB4>6K@vCh%PDCC~!1(oO=wC=C9{`+kctJ*Z>jM7)c? z?Nu@T9l}8_vuzp|Xlfmg=d@4R6ZuSCU(_`%BQ_`=q6&_eAh59lAhS-JFwX*4qIcH~ zi;y7T^O&IwoYq`V3uAN`-4^yW6zMl)0eNb zf;>&yzA9EW+NBnlN%|=?D2gx}Lr&S#%k>xZ;Q*eh<(uk%_TvDEX?H?Xiu2R&%ddiD3jVh|19#@Y*@<>=MlGcpSLST>TC6vLqtxi2X)p*;6Q#gZ1c#L4C-MfeKb{ zs^xwrkD0?MOvgzOVX6w2{7S z@1D$oLqkS|R`7^8LQXa;q^0fERRi)J|5GDu zzrBs*H7LI$W;2M!*Pxn~J5no{16W(QpVcdKG(_X$u6UJS$$O533>Q7EzHIY28Hb* z%Rp;f5-86Un3~>S$9g|;3L)$$dueWmDZBR0a$|PaH0r2*u`H#Ju zAA5|THbP=RSVh=Jz;JtTUj1-qsX1!w=?R};X4t4V!s-UQpd|1-TYbdyYT(Qt`A@9MhrE4sBJG zkbx|U=1APK=bg|5XY_lwcQg|b5tDS-3yWhPFnuMU+6s02t?+8)MNDK<)ES(b&xB%T zmJ&hiN|q9y0p@KScO#=rLOGarAUW##AU$;EjUGp|AcfM)XPG!Z3j!{ab1Qs&5-^STXh9r5Th|vNYmIEq*Nlwpd(M3w)@;E94*>72Tfq-v))pF@yw1+@QXKQc3b! zo*NTY-%I9JpFtzF%&~gEqH!L3ukSkN9^E<{{18_=Ukt}O6;@sfN&;dk(01%c-FZ7g z^u$AA(NgSP9g_(7a`FBdKNOYSAZwr>bdf;MHE|e`rVz<98FeD!`upSW=<(Ou&*%fX z-1K`9*`(s`*qFSbO0OD2OFlHj`IqP7M7RHo@w~n*vy=7}e`LL8OW-6vHiV+oKe`S? z8jk@#&RzHG_O~tknt1g1;+j{d$ld(i8;3@*gHyH1<&c(8*O;8pX2NxN1n;hqDNGMiC>XKqp1r1 zjiu1S|B6JEb}E4_tnzEj=+#I7qC86% z6LBfGD6r`JXM>V&u;JTCrJD15Pi5jSMdN2trq#Wi{UcAWkB{CA{dKPYgpGE9NTjy7 z{7b`Pj4L)RT@(Md+|R_R5_+#{?nH_`iPZWtiH7b>>QeFD?-R z{Ht*OiJDOA<`cGHRi?z zw917cgrUPSTMuc$G0!_xW~#LVrBR)nSp9_F@RHozfmzdJ-TLvV#fRLc^XTbK7Zc{9 zb7JqI)5a}7G+H@g_^<|#M$Q9rsgGkm@JGDC8OxWv7KTN)5HNnes#>IN^6^;C#{xIF znJ7nr#15zP;KNTYozSZjE##6}?z#)81(>Tu4*e{{yL^3|u+*ahCQ^#GykabBuBf4+ zk2ei-BrJz)tIv?~ou@uy;9Rh3&r1Wq3$1n{KvAL}V&KG<-&>F?R#b~)3yHSy$@Str z@&2eI+Qv5+j~{WBN;!YxBZb25&q2!ZPUBBS73prke$yKypkvZ$_R-mH+8<5fdD5=8 z9j(4`StkcydLsNv9ok>kPXB)pd8MYPS0l8w6WIdkSHg8W3N0(XBlDky17olsX0cU z1-eIWRm^aiKkq66iSi`Dd_}`_1tfQiTcb7-R4v*CIH(D%z@$4Np17MN0&sLv~cu=|kLy%j5=-P;GX*Y3TmQ_vd^JHL9yFUVP$&*1iSdwsg z@j7cf4HXY!ZpF=k0IGwH^DAUF7HgX%K{>4}TkC|%UCDf*^tKF9kvuulybS6|302{? z5s2kMYUWBixp;h%s}0WUbed!c&tJ)}9zDK=!onY`oNtX_x3F7i{gMpQ+4l0!knoyOYHB5zxFSf^zc+%qy*^d@AfzEsYO6 zvGYN$k2J)Vh5MFtNdufq?lKnbYKZ!U>_{;;*yY|TIIBgefF9v{l?-IX$I+f)P$3@v zAFeu0XLw8GLmoNDqi{4VGmCrlCUn~3a>H!sV?WW@jd7uInBl^x!gHh1aJ|sj#c-o> z5R=~;9?u*6y6$va2P_9{XkRUE{eYS3d`y2Tmp;|c`i{ncE$1cl#j>DCR3{<=L(1qK zIdo!9>^Xa@Gr3p8OkrPdav!pYW}* z7Lv^cjm&v*DIY4>Mwp1uCyqC;qk!SXeO+UNfHH`4sl1hdzK;j-IP&S z!Ql%+2(V+@3TNpo6wyy;o~JKkA!oIH!$7Um?FFaV>{eK-*#T)eZw(0XQeIQAA0v6; z<@(hr@0UQiNp(~@o|?pb_5%{#-PG>VRUwIjFfH|Uhbk^2~o2@ zm4tY->n%)zE7;w?8htGkPwo_vZ8@@p)`dR-UD+cf_NDb>8br7YWSHn8@*jpw-^b#@27*N*`%~Ec5+)#17R$o6NqjTg$;ddt zGI?2AI5C3cNIxPG3q-TP6_(2#Vf8qR-%L%s8C0Us(Hgo-BQ-4k%Xo*j#Da|z=0dii zP*Wvrgj*{OxmV07@7%U)w2eMVCiU^c+r}b2*DopmIVx#Cs$Vh!^db>p)(Cjw0{60JlN=jluqMulmD zv!5f=yko~T20d-_MiOjnbZz%o+W;BBVf6Led6~wrZ^ZS zN*XkkoBHF`mia-yae6u$c+f8oXqY4+i8r4z9(6>lgLaYETPE{L>)(8oKm|*P$ixAD zkzw;s(Td=;D3M{sZQhje|pv&U|^aUqn zJ>-;x74@wy5lWWS;(@e*4h_Jmz=cqvS7!2hA^;Q)?P1}489Fs)(WutqPMyQxsoYC)r)@*9@#53V-*jDDG(Ys>)<+R+t z2L@YTXHpml-OU6A@`64~-`Yld1^C+l>vc?iPfKIY!`H&4b0WWkH)?5^{)IPV*dkJj z0+-o`O}{|?+=9ahlW{dalV(hn5fe!qG0a;cUg;2@eSkK9SLh1`z_lg^$f#-W%1Mt= zWWmpBi9|c99gZLvK;{dG=|OCtHqL=euVw`Wy++sQg}1>s{0l(W>61G+hu}gbNiZ(K zW35is@KQ4>C2;Z++n-R3kwIPd6Ytc3CoaY(I@0QUBbeVzAu3pg2~f2x5skm4W}~|x zcgfE8ATqzO#(t_Ph7>~M3im=z_(?-8BBD0zlS4>IyB97gWMv_{!M#+t&JiclJftF%EmZlAluriKNE z*b)=Vy{|M_@)PRg1G#GNYb9M_YE?M)MWan#W`s$oqOG<)*&q&aK-;-`HH(T3-?7?C7Cws`7 zc4j~?*N&0V>*XGo$Xr$wMuew@V|j%^?!p9dx%iZi&%rq2wkkn5*L|1bBtV2Gr>j~A zVpjY_zz=?#^xvZpq6B$fOdPFayS@o>j4E+*1uOEROuz{_coHrSqOx)YX)~(Syv*u` zLzRXwItpyv*fm1w$}p&ODlQv$;pex(`D)We;=F!w zoVJIhaR&w8=NiZ1$+Sw9f8C>(a5Ahfyh8C@AIdIMpXHqJi!)RHegWbkfbwyeWW8xr zdkQ3)Yb{>mZ;%x0@I<5RD*K(5zAZ~~PjmGFkJr}x8$)XyL6jS<;^So8QWL85U>0e% zid(+4XYeA~N+`C;)R2>nx95*Z+vo)kHAuxFYT*Rq>dd<>gN*Srfj%&YRwVhE6?-Bm zm!ehGKG0Sc2_pDb|C^^`e<>;xOFmqTk+5<%j8(E|Of}F)ZO+BlnYE=JX%c%SlZQZU zB90RVUqWzzK*An=`^bFl2ttjvcd z&ra-4=@HY&-r%Wkdb_WfN`b~vw)_z9F_`|*I|uQLvPK4lf2TDnQ*`Z4Ip}ZP#8lsW z!{s=Da^aITV;z1$vBXW?HM!i^lW}~pXAjHN%H2UcL+ekNVhJ@&W)0&)M?)uxa;Gu( z7>j<&X~jx&I!dt23d?vsxO`}apF{fDr~8(ELg^NRnCCQ_bZ^L!fTk7W3f67O@R2|U z8%2Aqd?!J4gU+_w?o)y|5TV;mHvoYD(H2b4&9NmA>&VLM{gQdbUM|}{<>INFWsG=D zxf(P~)Mn)nL)|_?A$z(1O}U^-rhC+0Q-3w3TH9%c!J)@|O0z=g=PX&t6R zrQHtCux9Ef%}Tm``v?>Jip!q0*wSfck7GwkTu#mDWoe~L$B0a+wb$Ayj`mN7X{mMS z=;@Eg5~m5)>IR(!s{b^b7w%iu(M>w4t+!S0fCY=T<#;Ag+X?M)@z~%{jx-O}T=^JR z@T2_eDG0lxcA1v?oV2isqe9UM1m}x1#_MB?T&WPw)Ga((8-?@(Gm9AKZ%VBF&ka5( z{y6oH8Dqq69kq_a(Q9`CLVA~6cZ`l1ZrCkAcF5JeNB(=2U-9FO2Mh0Ymv@(d9|1jg z&b3%!G^7zH)#_AZC%yK4lS4!>&!Da56!QZd>&$5+PiN&f>&zv(XXu97H1oEIaw@fz z-qU`kXUV5}_ERHVDeClmc-lUVy2f$lv}?#?&56G$XiJv)$+YEidjVz|{JLEZ^}6>r zQkanY1)zPcdZ}(@)=?rNyyv}u`fZ4{rM*B|#{KAd#{JWI>vrXw0%=Br$M80A=u;-$ z4mgM&LR}N_3k<=UzV_@&xV>sr-N~b5PyFQNx!UKf2Op_bJ`Gu}_qN5^JlWX!8H5Iz zq!kzAve62t6K39=T&{$^JlSe4qZfUC#`DS2#WJ1G^#XAJP}feNEaIX(%?E2I0zo*r z1)Q-E;z!j)AN%Jj@+`rRo(nLo4T!B33Mb@yStcr zUJCpO9Zt$1QxW4KcJq!-y%`PO`WdKltKj?k84Bo?2gNO8a0AKU{sH0fNUEn8Ioupx zo@8^Y^I|w(26^iG-!{W~D_3tB&GFfFVp=w~_Ea}+aK|Irsw)t!*GvjF%}i=%OW?ae z7AN@FQLf16f4;%g@a*6>A7!3z@EG`gaD2a*vWxQXWFLLNi}*{=?U<-wMo1RW;U9}|EWSxu&Gfz@#++zeObs~QZ>-~?Hh`D<|~Vi@IhLAYwW;) zD)(>#6e5L4zP&X=0HMs~Z-*>9anu=_P-7ZCaLW(=*!yy0}8_bu9svAd6-aS(~ z?eRboFYU>RQC_+F>kX2Bg#krB$#KdT+R9l9J54wd znd|1QlQ0S))8d(QNf32vFi!AwVXX{VDn<;wq+9BbT+=baT(^7(q|}1sIuyQ2Io@8( zB2_(%_(@*KZ-fIVeAS3)`b!+=qVesp^Wdwd_x_JE(-Qj_B(*NIY8*KK~4hkvFeRlhcoZMY|`5A zu3L151osqUD|0Yz9`>*(fVt-BG0%5Xr|7eHzv;yP@S7P3>xNs<3q2OJ4fWD@2HgJ0 zS>150-q=@9Oq=!_mRW(d%l8lq#$i}i8%l`qFgDr-h|MvLVe4)iKO3Q|{0*#*sQiRt z1W+4utf`oovHg!*p>SHz3pAsnf?K&X3+3jin=emKZ(AL3jH9!rN-Zoq%x(reFW1mG zZaP4la`VD=097mBDE8CHMZGfuEpeg)qa~b#rKiQo2z>%Tmg}N>;pqlpQ zfX(x#(e7iuE7$Y`y)^EtjJLKGjh~L3XRgVH(!;J5O8~F*w0G*?XBy6Hs&?~#LYwT9 zbr&gh7pZkC7)OmIj-gv}8*E{0gKil-=_02M54-;u*V#Ie;LUfu=KTJ&eDaAPCKM7= zDA48p;VbY94}hiMQHh@QkkzQ^7N6ZM_aM`>D-c)cN~B?*C8gApOw9ryqt*VJa0fFS z#W7p}%7WCeNw^ype$nXa<4NOAZ);4>7j@qZd+~UeWA__Kx{wnFV+2 zFsFap_WkwRbbk_iI{v^gt1EV+Q*o!5?%t_9)Fo zljj(Eev{M=bijR*K8)Ll`_T42fYZK3@%7W~e*;}zh9|bGrMsgK5Q7lPt7XEJPrJT| zB-QFbJ+~8=Bl6pT zGpjgfYlz@gn-#Y&VU1kxVTp`vW2yLg3*SoVTZvNe5GWmfr_QK#PdWVEz7;M8uUMCYd#0ww_vFAN5~7wlJ}#i~Zv6UhBR#IdP;`21 z9#SG zrZG1Vj7@bKD+B{?zJ9?LBl$7XjRrZ(j!Zgoxf)W(}Z!}Xp{g#Z0 zpJxeFA2C;te4YE0p~@Zl+#;j}w+_(B3zN2{+^|IkxA;$HC?@{6+4||rB8Y-QH7tEY zJpHECP9D~Z>+RxdMc>n&K_L7k_v@h1GO>dwvV(WV!Lo>>w^1bgC5vmjsj?o^7=9t~ z$6{zYkyE~Smw0e3-)nKsOHIO;r;kf`UCv_%Xa|!z%&LYGs$BX+vX+6oZeZc5gdjM1VN#|5E}D$!${Xw!s0Xo% zZqWlx8lhA+@a6~nMGpB{?g&ZYFZ15`O`lVqJr}WvBXCHy{R4BI2N3!9C<;JFo1M2j zt}Dj_2RA=6op({)dr9zVWX7K}g166?(ff6 zEM^hy%dhoL2w%V&9Kq&07$G3v5Ht%dI+24f%@Glm_+$D5y>XwEzA{PtTnxa^%#k%H zsUUZEv$>-|gW0IX^+4+^wO16nO3n!*E1L1~-#&gY0!u9}#0T#7}pmtD29mugFn#=8mI1Rb^YSa03l!iVUd-EJU;Nh#Jg zt*ZyMIdQ%6atF4DOdssB(2IuLls#`;oG*~@d)E-E9;ebqEBn~=kXOaHK(b0z3{GJX zqYn z_%U{_XD$%@vR=P(S0F8@njPb^TMI3YgslR1a`bbzzdU~C`R)necBBB{=;ihCdE)6= zQ$66MUTI~g-k9sypBYf=o6Zj_!)g(?=CuA)-I{u{%?Fxmf%)TVOKkpVJ!8+W-#dI$k^LV(mr%~r3x>0|;&h+v(WpB{2{8kFK#)|&= zf&C62fnM*1>3vp81U#EaV|B1=RCgkzs5~b)al0grT}_eJ!f5 zxIl9V^|tw>^g%XarL)QTb9(k@2Qv3g@4B&I;mSdJYKHmKh)h6PJe);${J$rj{L}h# z>=)sN_5TR}oDj8u-MzTd@71$vv#-c+k2gjpSnaLpD)gbR(}BU?Gz|grwU%9}hw~t{Vmr^e^X+o559D(Y0})mBF?kC&T6yL*uv z{Y3&gOcYk{8l_$P1&x)2ki-i?Fv0i==15Msc^r9TsF&BKcTz*XT>^=Q(WeYPr)r4kvCbVG7gLy= zQ%*%Co?+~g6YLqZwply!Dt8=YDKtvTcf;A`3!B-7%v04{T65ymjCUe;OIT9Wft4lB zl26O9ODA;K(^!pBoYAYHSyC5dD*5h#r7AT%SPRgwN)SYsu0VH(fS4^ix5Z zi3$~gB0S$3>S`i}OjNHj2#2l9fSXNh!XJfPxumE~3X(a>0-CYL-q8P_I=+EV+h+**OXQ_9l6 z7WD1JSPQNOw^O1<+}4^ti5c3lUXS%h zn`!Wa-4dN{w{7Rm>%sx}nN~7vg^>Yqjh~xm&wmx|B@W7~$~kQITdw6=@x#r!S3lf0 z3Pf0N+|XRZP=Y3>MOfsxk;Z3{A?scHdl)uY-o*!;Fnm@Bk#u)7YB+L@I5nK~b^?=T z!i9~sk&-;IB!L|Wy%vUp+icY-rZwYc9>YNxPJKAMU2({JYGEk5H@&~N6L#_@BSZkw z#YoUGig-mMM)fp7RHs0Z3vgY6uT{f;3~tN+8s@-+9|%zVE!sfb zqOBCJ$YTul@vI%KxgX7%jO(N)wo;*(o08fCziuypvXj_S_cs zn3v=gi9?Mc4S@NR1_t3nC@K?TPB$1=_yp2jKHSBX`A>A|P)$vqTG1c~KoD>Z) z(gb{2A{Mh|a8#cV22IwKY23x|u+kau18KnK$2Yr-@p7cRX3Qid>U?7kDVS4ti9>n; zD?=E0w=+q@_S@)SU>H)U;VDvMK#mBD0MfCg+{^VQaNYY$6Bkd!v^4?MzGM6hVwbwt zi6u+A;C9tI7P(?10z^oXFlii%TN*zX~JpVBsA3zrdtl_8 z+a|2<16%ze+W){;h~sQ;r)X@Bu6t8EN zG{3#cWTz+QqkLAs|7Gc!e0?u9JMigypDgTk*Z8f-V%xYXs_=(X0h}v&F77EA$Ajlq zQy7eR+k0y61PJC>Y&qCL71?7*)1U$s)Qv+^`OyJ6qv90tvn9WC+VR2)J~oN6|9Ivx zA6jB~Du%A%95;XCThMVy8|Ir$Kn%W$5F?h;qp@@?h2Gt-FMTwEHA>8vB zgnYILp7jho#-b6Av6{R#V{C-52@-tn(8;CBf+ zb;3nN2s?V2+b5MhN_?lP!FzIyzSt2*GMoy}?g9*y7jmLmH_|cZxeyKRAkHx-F1|b# z$M0Y#&#|C%mI(Y6hwJBE;HNhjN)qRI1)-p9#W*Vu9E41HAub`qs!b(k@WdM#+Ny^# zA!C-QlXCZ06=^c0llD~LihIy8@~MoK#YQ1!Fb-C7ohN`_tCJOlwe5|_xB(B9Wzbm! z|2P^x%12+Zk@fqiKbLB8B9iW36hZ}e&c>Q1Md!a5(sx9*d^V~)F!Qc;@L%pFN~HRK zou&c6TK(gUs^8TsTb9!{ElS!uy+S5TR>9=11^0%>lf79c#6!#PZzjTfnz-jt!>r0n zgOfdfmqMV4av<5~l+?&wjV)gb8#ZyaP?k11wtNDoy{yyh(N3I&a4Hh%ti`zN?h2mtlu) zAs$QuW2dTPi%G7G{9FIo{HvO3tEMk;`VyRI9rS-4Tr<*1{G5Fids~t8Ofwoo)w|0a z^?Cefr*;$+v{r}b$}=w)s}A*XfH`s`;@2?f2tJtOQD=%f>V^?jnOdbNXha7e+<|S-&8Pc3-epS5Tz;BQ@_UJO%Hmzg7kKxebtdtx#G zioE^kD5RPKaiNj|ksd5=>=KP|{%uBGd{#zBjXKEw4zZ-t$k;9d15#{jtT(9OjzAB>3u{U&65;HJ6|S56ie%WuGg?j>hz6X!p_hUT~J z71q^5w1_Mp0*(7J+*H76@G%jgJ|W98FB}n_vw1XEytu3V=uUVCqk!%i*lx|K8-GVE z%Zq43Kg?935w4j1wLUK|BI_F`Oj+?q2E;*YQHGtpY&fp=(rx+h<=rlO&FA}DD(v~T z-UG4M)Z`jc*TaafH#3@TB;<6q(P54oH>y+lpiBd6y-^=$T7=2;oxt=J)s=X4_rNr5 z7{~#<=;3*Th+<#I(^Kpm!3tNTd_dgv5MXVk%aK&|xHN4a?5WOUa-C!C5`bby-jS*C z403TKxA1kqzzBx!geQ|YW*ezXv{z7u7l9%l=tyKF(f~WMp$E!&+Tqf>`WDo$=Dnq_ zeZx!rATI23+GZP1)!J+7E3Qha7%A zpO-R2qOG6ILHca=#_~Vc1vgK$UZVIJLtrwB^YQgtBa+W;pf5;zuyMoFXrIunhF#1%OtvwdDM|v)8Q3o7h>Cqiwn~|LOwR@(zhzLt9o_HQ^ zy7UMI|M11@t*>$)2mVQGXE5wH9H3vz!QoUsCvHm48dMJ_{_|!D8E5D8Ai0;f0QZ8d z)u&-8nZIGtCTp^f7IG-k)Bp2I>}?AsYd3 z*zvJ|mVsm1Sa6@mKUrWbq8=Q6<@oyv_}^5mb&2q=G~Oy5)urM0Isunvx|WlA>gs0~668`qI6s`UbF^4Uh@X)U7> zL4}=@I>3cOiq}gzxrIW4C)wWkLi~BJxfsI||LH)l{?X-D2(3|tNyA{k%3>Pm2>~bK z-0$F%wb0cY8n;V$n*epWY7zDe=SsG-NUB_{FoLjyXLW*IpxRn=?C}n1ie8tc@k5}e zlIyJB^O8aQBd;rL??3}ddR2{-SbZ!S=?4Ds_OwQY-Olk!-reSGLNtZTN=skTaPeM` z0uBoJ4`r2{8=Ck-xn+6TF~_}KTvQJX8QO}x!@yLKafZl?7zsQX_Wz{ep>Z`&E)uiw zUdh3$1~DcUTB$e#F3-Ola+Hc+83qC@-9p zpgr+ns*H{cJz;`}-WiuWFbv^wUio*l)0#Q3c0A)|;`Ru%*D^;(;p%6ej9XgF*_Pm* zZdWvqA`}Qa?rXn*4dfb?i@*hZcLYXuFN6j_zex76#+Bs>LD9`n2np1l|6o-P#WLNJ zQS+x#I^I{5is*bJTQ$AaOTE9Is*x@#l`Z3%fsQrMtDJ^`^xf-#DshZJ4%8y@1?Mz!Vfvu#l(c}8YfENw#hJK)G-r?=|#DF zR^jYlqwc~2!7z{-sT+xIq(mAsd2U}OpiZp22km{DZlIJwaO3&yP=7Z`~8Z=L= zr`MV)&#;CGwK79A$~fpsne*bOc<{+^A`90qZUfQ;U(p#`K{27I?%cY9P4?4!pKCjRdSyc+DJbMcaynigLT zys%bvQ345gIU_kl$Vg>uq``)tPqh%_2SYHO^VxoXHJ|^=X%i^vVui$O4(7>}_q@ex zj$$e3hCs(JO4?7Dp(*GhOT=qJ#>KZU-~IT>-C!|mNbOAXMPR+b^eD1|W#$|*_}*_$ zru+@C47u9q)PMakNIxdxk_vRyV9#$I2ff3nIg&yCEhd-LY5hg^P?r@+qOe%|jz1

66lkPdXHkzWb_t_++@>2d_rx zNbjs-B-*fx?%b!htOpNGJls3shFM0|4rvf7rs|Oz6@Y{*kb*hnI_&Kg?z^awyewNW zl8WM-$de9Pg?^Y(yP_`%idKS0p%3m0b(;~u8a85nh<-mmCPLr0y-q5^i)0?tx2PuK zK4*%l*e|3{#_N$v&k`|mN&knu<85pw;-<u`!0Q7}1x;>db{eZcOqN2(*lK#}V1fi@nl7kGc<5;T&a z#E;Nr9jYE3jzm%i!^1PpzG59b=z}Mz2Ryk3UFN$n(oH1!!Cfqg3Mk5;3JoXlGj^1H zyKI=MtX&K5RPOKEeadK#*e~%+q=V+|WgdN1H zzaOW8DG$!>!GUS8=pxRsV#=|??xS8}kD7iMhrXBp(FEf~ zMZun*RZ7GxiLEB>c2@O+U2J)ZKtC+X_nWTi=Gy{qWme_!P}DjHq>aG(I3%s;`nY(x z9lf)cC_1{iaWOOn@6X7Y1XIZ_@f;Hvdxejo$P6q|N3d7%Ro-IzT(ug5w4ed-+;Z%K zHK;!v=}8e-YMf)d(+>sb8e0pcIe zHtL&_WQ?RD>~*}GmR-AIRP+84Kif%{|^zgo!e|VjBEDK;eaqQ*Nn&Jix1^BtP?lKbv ztd8Hqi5=(MwKL zbBwj)JUr4WCFqm@5eCa(7*sh)11flR8??+e>VP7b-CbS9c4rx#wB?zP(R7cns|-~yDVB+IJz%hIrX&CbtC|z8x*4mUX8C^6Yzh#^mm;%3*AC_|=hJ!^^M| z#n;@pqO(fVoAf5&2;HxDA{>&VzAZaA;!N`l%sPGhA$KyebtB8?<(h0k#gHuMdVlP< z{slsywO@B8UpDOMirfOoVHzJK1Z(~QDEP7NFMb(fxw=jOvBvGy5Zm5H_=O-lj525~ zm&qR5bAfLE`OS_e5%l}1SsmCnfLDS|G@HLDgPo_`LQYnn(TUU zk22JvXGeMqD>VV)zyY&SKf$WT*+Cq*@)Sue{@{eD!16;EL`=33SAR)SHN+Jz5b@uG3dt)k-X-l(~M$s+(8KhUZWXAK`7y zs*aa7AVScG|CHZ~3{eGkoC|nR3GE{41juc_D91{$;=D0|b<7@z@<7B6zAo$MG;>~F zTyttCQ$mzy2Mv_WA>HclfhjSj?UT-NeqMPj1ay=Yl(QM^fyFLqZ+lFbJ9iAV6II_h z9F)Izvd#&0#MbK*}*N)8`ht%y+krB zxz-)v(`Q%JPT1hB9#%5sJaTl|mKe_UD=ytX&vD+poZx51ENTC2EvjZ(x?ea*d(^J7 z{j~ELca9!RwqxizpYZVZJuc&m))MmPio}6hnr7!$o4Pxzh#|8lzG=k`VxAg~L-`*Q z&NmSA(AtPJl=pCh@Xd>%omV%dm1TIMMq}#Z%rN4K`Hu8mz~S=fhVROg`1DaAdMOvg zBt5^Iock}Yi8aU?ehWT&%S?;bDxTsmpF46^XBz+^t4rCRL>BGkstAuPSz29!LPo4P zQFbd6E-sQ;YxKA04or&zPj8-&-1~M}J9RO4`fB5stNs%%3Q>k8hjo~16E30fKm=!I zr4^#{!<7wT6_I}i>_#)_{m||Umcl?M38$nvza$g-47uZ`sp90gt6~AIdFvLPBZiB& z3m)J{72OiY9@a)~2JPixDzNmi{d4dNG)#jLVa1SD^DMIK7o>oL24vjm?L99H#b3a8 zoUHXLJ{NgK;vcxrMCj68riNY&o{ZfZOTJ%&cX_kN-*LU)La9=T0gPc7!+&r6;4#(+ zG7=^{$=F((HlQ)ExO8Xjz(ZupXL5Z2ahY+Lq+frc!fkM>rNmu+rv71I$HV#iZLCLd zzsHct$SGdi=!14_+R;rYzx^QUwzqvU82Ht>cQ?0)GikxLI^y0Qv^MXzdrGM zJ0TBT1aTj1ZCQ;YrO>cNr<({67fzaiM{m{1TSa>pWh)Y;PcFPdyq`@dA^&_BMuQ=6 zGJo-&?B~TxXJ)uL&&qt{?R6?{p6pjDrr}#x#we7B|I1Jq)h*9oJS}Nto0`-u*RkWY ztF)|Kr~q)<=}r6}dC^Si42bJ8r@18&HtG_}?q)B>9hXhc49H;O z2j?|Nb9Qc10zYWUxSfDX+PgiuMMy}mT38J|XFvI5IdM%Y!nguAl}ZRb&^Y8Regh{3wH~`mog0KA7bVRK z3|rx)dYO$xDM2D%>3p8*YUY$)d5GvEN@WA;;WG z`4&#aXtkYNBVZ4DOA2x2wNWnkVGRp>{4hptydiue(mL>PopB#@rLrR z*0W94dWLs|XYIsYU0FhgB+N=iN=MZSb5zy4MJuykf{*@7PejdMcCdiu+>9SLU(qif z2IqAWmtn;h-x|$qB9}Ds6r&;m^zw<=VWns4nb=_)i!J(b;*3|;PzN63h}iT_u^eai zL8AyLkBv{7PFB#(n%nBlX-Ni^ewE$>wz}>Uy2hND6-5?WRnVGqb&WF+j@EU0~;-Q@#i7dE)P7E5$)TP$;B9JF8n$w0ZohMm6~_3yIbCId!(P) z17G#e{%6n!Uy|?s=wDkAUykjpHpw(w-!}yjUl=w8qkc{8Zq$1`{jd0RqqEhiS+npq z|AnQvCAb5X_f{j~%7PuiGy*XrqeI%pifA4xc}1@Lhe1|v9shZ#Ak@3C!Kpg|`!aR5 z&$r&$KqqTBM8+e}1;T_J8;+n>WH|!5Pl^GJ%QSEyzr9zXmWz-}lmKOoT$5BU9$Hgv zC5@pbZEVpzaG`;xX`+AvO+oggee+$KmulcknpG$!ubpu4nJ4n*C+6NB&CsyQAJh|~ z$TMX=c>8Zxh6C+5H2o7vD;MeM@#+u?WrLG&Otn0j%GqA7ob2+eNn^3MvJS;7u~8wkVazL^)mpM1 zN5Qb6ar|jX?uFJ)O|o)R7g^Vz4r?t-AVNs%bL-Xg#s3WQ;H&at>?=<}SD5}Qip%Ds z?wxs6f~lShbqx!XIlEB5ql5SDwp;&S1Wprt!8`41O(;dR|_Zc_X z4=F}7$%(jMDlSh3^HiZS_Nk|-y}em@97a^snzN&hIFeRWfyd(s*qN!bdF*PsfBa>m zZl*L@Y-W;m$m6knQ)k03pb8e8`Eh5k>XE6I{xH;JPPrMkvRMQIR9o9D0xx`gO8|m0 z^1Of#wNIQmZ9VeDuR)H*MfHQPu0=ZilnejbCtCZ?kFPFb`uF4qLe~prrC9WD;(MuZ zJrdslnhal0l*Zp*&c6`Te}Y#@%&;+_G2T5ILiLSjn63EHU%{$UUjFry?TCQhm1J;Y zMmGq6{#q0%Is_FOD(b3W_p+#H8 znR*-YX&UyLtZe%2SVPXN>6)xfZDnD@@}sIQ(Q1W_8)@UI-97zXpe(`1*f`ak#b5gM zW33{H#IB3ItG&CkT$0nId7#{xq+T)gjnXN`ZLf49-;<%PYT=s9^X%J+bnDZF>|3tt z72xdFxI!(A=c`3!j*s_jUxgYclS6Xj81y0EJSkvpTmPZ9%CT#XKjXgYXAwNt#4{Im zdmcGk6ni4B^a>_b9PMS{r)sk{JdR5fAOD{+^98fMaxU0+lRD>vlcurm*xt?c%X687 zhF1)a0amr#0#Sl{I_H#AfJwTqsHaHYONPU)`elNw!FLa)J*zdo<_-I7w6YpFb*5K@ z{eTb*^vN|3?NB9Dz*s@T(CTzv0&$7tJDik&G*jgzv_O&}|aLC}5u@&!hgx)Ii!uRsC7Yv2cpob;&hM7hOu z=sBkuG`Ipi0(HvlA#Q>IrKV7(;)_Wt=hI`esf20rT+I`shfTaI>s-{$ZUeatw7Q57 zji{9OtKky97i#|i(Q4?n(P~h_17B-&CnDtqkC(x^&5v`9P1^kT3sbMw!sT}5+2TeY zgs-PZ(^|seU9YqX)UW?=-FzQF9o<*vPg@=4UgA^2DyHP1y5F8PyGQJy3u*ar>eR+MK1c88RtfOp?JRNVg<7~|Yjz;~NJ zy;sYzuxKYMG~;=~nu(d&Od)y1C^O?Jr|P=-?>}+E8&oms5GuX0@`G}QmxfU{Xg2Ro zRoB%}cI{T;sIVbr_stRYXme?@vpO>r zt4_UY{&({asclJWOTKgpbDtY@+=;7eyMyG-DE3VN^je!`-5-Sus4jJ(cDnWmuBfFa ze%cayf#I?*04>18Jn4SH@?v7a^1X`D(x_jE^1ImAmhIA1nk?}CtFVKF{~nv^>&Yx` z_~NLe)HR+_I@K~=^#G9PM@f6C^)Jt_AWbo?QB4>HG_~-{$X*+$_K{LCp7G)T;!*AX ztM}l`@Zz!#_*E3_TCDzn-7YH|Wk6kcaaR;PYQR{i09&tM%^w#!Sd=s?)j3+C^>{xU z1dtq%G27Z~e4VU)-%2mIQU!jo`8&pTtucN*Js!93-To)^GdkaNA0zn2f;!k0)<@1& z;@Fp5cTll=%SXu!5!{WGdci7HqADO(Pj3CO#SqS=iBS#%0T60|t-^nk?kDU*^MzO* z*$)08pYzV#CYI^Ytg^BAK&kiD+`h;auV_)WFc^VSVRe#*ZK3xAbStAK~#$6G*iyQ5j8ZD__k!hKt{zFa`EA_+q6;_G|W^^rEmgVuU^7Ru&NE=;DdHCfs)=%$v|Hc@n0UprwB zI0DYu%wgBtl&5xes2u3{HrCpI9DCD*uD!QijI_~Jo|&Bk)r)t1?{$*;+&+$jX%ZJ- zXOp`+c~5|>sq>5ZRk^cmu5*pYQF@t+2P#tz^~}^R&n#1_3$kFBVT4Ude2tsLxpEZrJ-W( zo~kaXlOGQoCtF@u5;amVS}~7K!so1tCApX+qmj_asZ(AYTXYd?86%v=+ZE!(ZgVj* zPUv4i87g~rJ5K1=5Z|z{#Gn&nX`eU^paQ95c4qAxi>F8FmnL&|dp=+?ob)p>>H%vg znPF&&VF-tDNQ-eOnboaUR?pYf(>>b4H~HsBR4P6;yBmY0tq|lsp9(M4}T#+qyTHfrI zw=Vj}Xofjn+&|rPVks0!s)IL+fQ0VN(x=}EyJ^vn$Zu40LxXA|sF_&`UTii7T{=Q4_Uxbzl6rtK$Q5tH zPN`t|B2hcx3cAll6pTjjS|DF>l1X6_fuf zOiLCQa@P*ApP?cI=gqZo)PLq&)3X5!i5=$cR=syiLMG57rP{C`Fwn2uJRc40ktumyA-+NLZo13a#Vjx>0;V^IHE|cU= zP0+Rp9*r86LNP$r`F~A10%kWMgA)(&GiiYIt5i&+?_T`2RT_K)!~5|F^G!cENTcpYX8)DFNQs7G})e`F+#aVN@g) zk0yR!I~yrM=b)txq*+uhj40R$Qi2UWU5(nb6`L{@`qUbH|G-2_AX~Zeg?8GmTVlyv zp6MEbT4AWkDW67hs7W*DrMuu?AV0n+%-DR;s4bm1TP+9(G;x8jFXI{keAuSw&4r6TFT7%LY*yRbX=Y4?k!l*)(F!%Zvlzer5juv-ZKUo;iSf`SGs30Vq>d%fPw$gPOEQjSs4tv?QI0p>_ce6_BU^GXe0=Z(*H$d@)78eg7iXA{RRYe1MO%b}E|1LuVn2-OiKm&M7 z!Gpx3si$h%u!k8N7fHSEp5}(M&=hsEX8X@nO6|G$$Vyzv zZ&*eG;ScOl-e!>P7(aW;B0JfdaS5^;2|hxkM?_M|@bzAdI<$E1krGzsK=#^d5;Y(5 ze;5DJ5oU~GyAm=TkS<}5--NAd^U$ARzmtZY4zVF_+eU(Ikt3go`9QwlI$M$X`coOy zffyZ4YD)_nR2Z@N^L>-fTe2iY!nS*Qj*yx$b|JjGD zwhSOkA_`Z7K){ z@@*yvvbW(2AB?6$+Vha&>7-(1NQ4rYZwPf*q&Ms;)w#-g;OGzd2oSJw=_N(30 zb((aZSnaH9$PxGV|8J%#U?|#x(R-xFT)wPCmlN5 zTGT+3hoIjD+SFY7)}bx3D$+bslecIOXQ>^O5`7LmDvXd6HC&9+q-<)!B5=c#l5oSF zNPk6wQ;Sl-eh(2Uu)*mVcp5j;R-j7HA^j~8!c<`w2|{5D6*7-}1DD)I`um)k(>_!2 z8|*d_7tYe8bqWhROQa~~!iQqpFU1Su737gW6xmZjv5PNelP>`ZnHVQF;Ich`n z{s^{FB=CHjw3q*O-g#!;aY^I+dBZ;0^hxD+T)Ae};iO5FN=9HB275*9gK`*dec&P# zFxn(mF-b|!N7ar!mS%ANus+Hjb8;}SqTPS;&hk{4EwkD<2O_r)2(o9y9p9snAK+4n z<$dBz*0>_zf3Jn<6)ENt2Ln*BqBZ+p|I@CfJO$R8Bw44pV~*(%^8NEkW>qmzCML*_5gAz*|e zuI>sMT}6&_9?CS8eWgS~lFL-j(kdF#%^kr~Au6H8@x!`UFwvub88y5+ol`0pWho#cM{JqBqmDK-CFDs zD4q*&4rl)UVmKDIPZ93T=a~Wx`|YT|I}2_w5wlAT5;V~myjEaI#QMjM1_!AnIPw4_ zgHOAYJ3d@^*L@QV{Kgaol}T{K=p?D>iSgfQ1NmI&qG?8jT>5k|g7I8rO}nZt74o&f z`R4H9*dul}52Degk*Pazcu06d&2Prnkzm1EP`DjrJ=<8kFDkbWSHsy`Lfyn&ettoL z^wXoL-PLS;U6tfdcfYRex+|(54&OiTuWz0ouVNPvKQ+3P>YnMHMYH`olT!445IAH# z-BHt>Z@i6YJio1)ZW-x_8ofMijWR-?c7J)`%2OJBeR@25nU0t9`CT8`rS_vhBFRT0 zLtb{780USE#n&+{uK&Susir4dL%-_w?-Bt0N>AZlvq(q}80+8dTg8sXWdyy{^-!yO z(wt8skM-r@ZB9>Ixl0GsMDvQnZxjJ80`yU76AADCLM*)o_mV5~oN>Am=M8^vJ8weD z*faF+6xMeq$^7L)_{)W%MCN|_=Cm{3tE$`&X=py2P_2uo)W5^`&F2-hCFzt!zn>Ep zPc*o=$H|;I?5sAe$jh!)X{84^{B}?|UUH*m{JTdf5E=n;2O}Ka7ai;=@SW-JR?NL% zOpl2|@9XaeA!x64s9TyZ^pV&=AC!GHQdpF(N}!eU-QCgf5eZI}ClXmOm($JU{#){U z;Y8lY%ieS9i%){0yr*|b=ZKi zt?vD+l&bNf2{b4SN7(RAIAkEqCRk0hK!GwOwJ@c?$AfxLU5(+dSVs~k0iTK}5guY< zp)BDq=#Iz2NtQ;8Xk+8|*quJDoINY6?puSI?yjsXVVfQ0BnnOT$r>haIT%#A!9ZIG zY^&QobRf$nubj4t>Q z6Nb4Hd-VK`xaSJl7Wc983YjZxMVA;=;ag!DfCT#03hWR(e5DuQR1sL7pO2M33J__} zQ7EXim@P zf&#w=i2$53&zL;$kVICUSB?+{@Fdm z3;R5mhhTat@sJh#@+dW%pXjikU4G^hPH;IS{&W!SeX-$3ON&_Vxc+`0A1}2?Qp$&O zbFoibagT&FUwd06J=fg7ks*LBLLs@eV@-)4I3Cvr)!AoaPg7d^g^@U3x@$WR--L9x z;1}z5aaqC4s#}A)yF2yYBQr?P2eh_ts-MEAl$KG-vj!1^hi#F2sNTwno8)_361TuR z6M+E(SeNk_EE=$T7Q)b$cmdY^8zLS)?@|P~k^G!uy>z-cu=YgDzqI`CJ0%c{ zalQ{zYPZWibA;{e&zkVGy5cG_5oNuPYyZ+PBAMFi7TytDIM7*lRd)6Sh(M}Ajei5< z6a4S{?c-hD!$%hPthDW5yRm|^5~I<}7nY;bquzWu1cc-`(5=+6CxYlPM*@py1(P2F zW5I(22?29VFg>i_A$PgLVR~XikpfB`8W;NyRHecsC%3v_5rf_2wOpX#Qiy?@J8O4s zzJCRQ^Z4tP6pA7Oi*xtIb{WryNd5krEN?<=_Qvq*w&B7{#{7 zohST$@6Cye)B3B=*)Z{!Hg+Y05Gdx@(cIY!JfXKc+PFRul#3y3ul@oxKdd5Qw6$AQ@0z3g^U$1zuG|w%y_@`OM7%wUC`5cz^r& z`1$O_1Y7Y93R|53D%r%Ij0G$bV3SQE(ByCp{9fbuZ>n1e7B~_XGelmp)r1Pc*sqs) zv?Ln1%wC}+*wK*y`|;!X{qE(Vo%trp-?yM%g`aV8t7S=YQ*NbtS^BJ$|B;3OCT!}l z9n!D~5p!8DXJm6tFRpr(WjjVz@=TGb4N;lv@0#TlokkQB`-qvfWEbuBn`r2aiAiYF zl5VA5bPu5_iEkHS&-q;MPJB+R+cjEH#~E_j@5fFo-DKC=Dtg?rwjj_3PTvMxM`bMq zT1Q%?2D5_8$eZ-|-beCVHXGOZwiWr%WOWB@z|_!@)%zZaCsfo!ISR4e6u8m~i}G+- zV4+y6&$ldl?*726Inn7DBB-*e%7;f>ty+N}!$Mt&2Q!UV6&K@cwrZg1yn6=gApPIpZZA%@{6(`{OqF7V zySu-9UP6-XnUargQ>uIV4|Y1wgQNO8*MLq}u?B8oZddimkc1m)gxk+c`(TivenpjG zcaVOZrwE_Y%U_xq8}V2E^bN>$wLcwZ{?s)d@BJ}*F1*< zNGt>ANCM|DdGu-RwVLGsVMG7zju^5H0v+)MpalkdV}*_T&;cg%=lD7K$+W?Ks$qPYmn0q5&pq~~$2Upv== zx!;DlKbpkBbwTg_*^K|X&C8D&wAMC?CK&~@ria8;p5I_2bd2QA914nlcwcOnkyZx> zWCaR?Y6@%_#r4YCSCj)!0{yaR@&cYn@e-c95%|03)b+5qjp-V9H$tfhuM3z|t^z^A z7L2o#kBswm6jV3Qyme#%FT^7qNfMmm3LaSTIa1CZJ46pHKjii+!$X_(bZ8fbtsImg2Jym$%sRIni&QH4)9?#n~{StWO`3? zwpe<#)Rj#8Z+*2^u^5BBRa z?34HzX=>>dP{?V1GDY_+jdUDN((xy^C}}!djfKd z9tX*pQWLol-YD*|5k7q%G@XIc;b}@&Q!cG@1q)EB1vZ(1dt#VaeY%|OqhmG$a z))YEfD^}m|wmQ!tSIDKWR52OLTlR6}7S~^lu4TQ%L3Lz6xuRyLKzi z4wXSdQ4gw==$VPnqGlB5Xbdq0LSC)3eyC5F+<`(44Y~T3MM+?{Bhp zVrHxf9W8n7;ZK*D-NVztiG`^2@>Fc#kMp$+gpkqN2ILE%+%pY*Ewmbi7ihQKi2Je0 z^#VGK#?qN-*^bDDc{X%Af18s&&Sh4eyvyWAfM5U<< zbs;#$ue8-MjNzK*x4GzB(L4MYu1D|fz}k399S_l)j64yCFpIMmXSY!hK|bB zKjD)sDVt369WBdbKj`WiZZ3A;Zf712@_#8eV5>t1Vp06`!2Qih%J@BcU@35Hev-NTK#_Ft4N|Dw z1Xq+^A&HGj6|lBCudypm8`>tbG)kv|k8s%4mRgnh%lS++^1+5u$|Q2$no>$Q63>RR z=t;%Ll%5rPKO&Pao`4;5Zb5dOrI@Bpvkun`w_dG8lkw*nV^OjS`cfa}#FlJ@=Xze^ zZ>I#-j`WQ6*?^aye+IBGu>TFbAyP%aWXScnCtR1WBZ;>_0;5azFNzkpmP zGZ6LOPW+O(9u5#*zz`HtBg1F=`Oax1mS!nRY=U2t%}LVX(QSUsRK8}j)bWeHiG2@y zI49pO-R?Km0UR{@m5Iv^iUcGJX788Q&go^NfDWo=UNbTZ%AYRAxzROU80s z$_Cu-bu#eI(>sQ$=vip%<5Dy?BC10v<(FvYSBgj`9dy$-8o1gEch0HWRuo*v>lu9_ zNucB}?TOjsV09yG0(DMXEO38%YX7dpm} z$x#V{#0Ev0jDSc61tjMvNuo#;ksuj?CMSu4AXy~m=&eTQow+mbeDlp(cmCWpYtemD zb)BkRwflMYvy0Qy5_6Z8QGE5=Drj1(3=&~)+NpRS`4*@4k}#4+an%WTWEtMS8$&a8 zI2JHyb!5mXJ!qBT@V1HQ=To|^=<9D)Y7D|vZ{##hB=ul#8N#>1ygA-l!JM4SvyJ;6 z(9FG8D;z~x%1BgS6TOhvSbGo}2;1I@S9GfiHJ8caZ86Jg_GP9pQOdi;Op#G{`4-vb z>>g^|)slynKrO_&6lgZ8UCIUMLl6oolYi(eSoM5Xgyj%xbpa6`?rM`B>_jMRH@8hF z8Ek}AyD$QCUg`HdCvjwokK~3=<;~L(s(^dMS6M>fQ}PfUdiWHC@v=!S#1faWAx}4*|6N59%2l-fXi*pxG7K=Vcnf&&%jHM{2dqYOv76f+V4-;hnHAl z@13Q{`u$l*E$+PQ@JKp1-Hlx5A0Z=Xy`&drE5zEK=??q2EWR->d`k8e#9H4uzUqzh ziMD{qOe}A6#iuK(koZUk1##kA)v)*~_J1GJBtc=ST@!s80wUzciLF!iX7Q1@0ejc6 zOfFsYlG1)um3JWsW63Qn&tAksVdzLK)<~Hi{7BK*6!@RSg^>KXphz0eQXtkJdI%X8 zFb8Jjtmaw;${A0^lDLqTRl7ux+A={WkK`6ufc@vIz`%wq2ynrtbb1y*+sU2p738UQ z*~$%f-}S<33wZWYu4bo9Cmxiey45i!m-F*pe3daMelvKtvVght?Ztl&Lab+L!RX+y zP?&_EPR1cRA@bhGh*8YuF;Zr=U_kT`7J^6wY=j)k6z-`};gz zA*}Ot8xe_otFfU{vWoETtb#8@xwXVwsr9iu3AQF*C`+mWQNME;u+AEIlr`W7z${2$ zFxxy`bHrbfOO77UlQ>(8wwMMDJx=)Q4tVHfaB{!C}xwtO!vN=@^m*+ z?W)~a{rC}}P&LGwDE`G9O+w^=>aWeYVK8yItG>E+LR7n0n6p|r;8QTt4@lrFLnX!$ zr9@X0#9ENhUg6UWI8|PAWTx6x2>H*y{lIVyS#$6F`|q^7@F_yd!E ztG9tY*DeyQR3_C`4j;awSD=yD-!S(ZW&I>ZPsC6{h0!-zCoYKBU5I+#UaHf}VC;*>Y6nt_o!0VX z%MFO*u}w;1#K}?Bc|8WLr~Qm-QC;;hE|mBwJyux?3`Va|UBxaoJ*8E5!AeEt&_nip za`qZm9^O@(OO1nWEHXVseA_1?WR3r}X>iD$T=urs-)bVYQ0q+_7AmU97it#2gn8jz z7_DCZJ-K4m__TB_xKC(qj79IfzvZhcQj3BJn6(Hu&gX$u+=k(4*@GjDwDKN0|&Jyh!#XCpZ{zO3WP_<+s>pKKlR z_k}YkT76jvzs0cdzLZftpYn7oSDKJ%;eHe2f?)3JkQ;Bz#kQ@R0M>D9G$FNWbp>V# z0D}qaE&NtF!84KW^Cc&um1Sz%krc9MzGQLZ=$oK>Wk3qFh}?5&kjPWDCr2!x`@isI@-f*ae$(RT4RlYp2#5cofC!ji=1Esrtmm zoW`I@YgNf7vtEpem4xHyaHkU2b@kA!*i?v-V(Pb^H?*@eg zH)u@BS>qK}En5UvsN#uWp$TWozbkd7ilc_X+enYDV?{Z{r{aTgnZOZaAPT&Vg{FFW8uf9Qq=IkAW+8y zzKY^&Y8*Grog2f+NjQUqIKcL;JOLe`)WoZG=1|3}r3}Q$s0f0$9iuNP-Z~Uhl=FEp zCg2>cj#rEI%D!&dBB9lnz6EFb6O(TYle3Y2rJq%kb|9^2pk!|g+P*b?HtHF1Phhaq z=03%E=39SP@c*0?HGvVHEJ4AzbtTtNHat)dm+#5CRuc61A{9RjTJ`8_6vz-+f7z7G z;mZ~g zp1|@f40X3bTZ!4l#HT1RzUh{Lkub(Ttiq#}=c6~V#NT?snTQynS9wmJ_{Ha7WJ!TN z5p$t7h!r!VbNu08i=g;a?Y)HH0bqL4#nxw_Vc<@j|KEq?%$T$FbKE%m7WKd^U>qLs ziBF~LzJ*Kl-Z8sQLbTF=*|Y05y<>BP!cX}_(7b-@%?)v;_}~GkfDbXet=7h+)-~>k z2FJj)(xKtGgM+AN7MJ)`$g-Jd_p*f>;f1&8VSKQrI_x7gohq1-;cI1Tcp@2JxLUzk ztoL$FUC~dN#HS)VXNJp;##PeRf3RJ#BVCRY`x#m6QFtRx)E-wEH+C^)SAN$jvz>F5IL zj~nK!oDivrvWJB8ohS1RMtd%AQ<+GI%nVO5JzlZ8{oni zhy#uFzNSwQ>#-;CRWJ2b|&C9d8}hVK3`mGsn`Qo>2o$7LVG;BxNXPl^P?_o;J8A2iNqo zpsIk#oq1$Hp=WL!Q*i>&l~@Kia7^fpV@Cd~V**~NgZC0RCiI^;CW6QU$Be{%C3WMN z5bGPqRQc?8*C7U7r-$22qs@m2qDO!yzigjoM| z%*Y$ZQHJr6lP2i7XM*ek77bf_>IA-LHV}gL?|KOM)aQUZ>DNvgMDE1%6gaXF| z1A%Z%=pV<7ym3r{8^_FMvSyNVtJ3H?-n+ay0YHubSg1A8Cx0P&$KQVe7Qs>x06TpH zuuyBjo<`;Q{U7$2feRsuwb9~s@^paxA>&V_;xR4e@DO%anMKD$erJ}o1aEQmlBn)%>8-C574ybS# zrqQX#;x8e5Jl_omT*8KIRgHEGf`m76ouh5^xs9^f#s&~~^>snun<9tIvfa z*+x71Io+D{G5frMm*n8#Lel823k$OiJ{ZDlVmYP<aUS(@p~`t{wu3XDp(& zY=xyssg_#0&@w29NwT^h&O0rI>$I!Am-^Nlg2HbS{?WaL%UdTYoD-4I>Zxh+&dMx*;%1k07s6>Jh(4^^ln{seGOQ zv;-SX*UB5T)O!Tb@`eckwEV1l!_3G54Mm_O-hV{P#>E>3t(*}2U!&zx?+sca2rtGu zIM7%RZu+!rF$TyPKug!kuUI!|i3iY<^#(0}%-*1-Yvm1EV*a<#@+#pUXh}c~Yb7|k zr~Zj5hgAjzbY6BFRrV{P&?l4mr0E(t-d`U9_*#wpFVp>Bru#q0bp8LEOm_{L93U$NK-NeEvPPD@xfUE(3kahhJvPRw@E6|ny8_3G{Z;&~tUxRW$Qoaj8`}oj{s&nBZ~cp`n}3iM zL2gBeU)>-p5RE}`W(1=pkp$+tK~_K{rP2Wr24MsOSrMK1A3;|5Kadre1q>$O*Z+#F zh*thVR^-D+vU+5tGVmK@)ushfGfM(PAut^RS#hd%)+<2+{{>kA)4V}eioeJTy+PJ6 zRiM18Nd`WM|AVa1zsMR%1&sR#S)qTBHS+(6tOEZWS>pk+Qv5|$AQcOPa0!8|kUz)@ zy+KyM=Ml(CO+kg79$_O;8?y#h*8xt0NVQO9096;+<>GIu1tHxbQZ4X5sTQi)=dCXR z8Gv_nsoc+ws<2>z?A4c4!?7bl2w5Ef#A4@`Gg#wlMFX(r5;0G;Z{W+GC$6f|58P= zy-|^dKP>!w@N40 zCLv0*t@V?N7i~wYu*xZZI^pfhKg7+;ME0NRcO@)UO3G7WejM111;{ zgc28p_4|AZ)S45q8fu{?0@+!kC!lU17kSs30oCGNBe<_pGCs1*lLSr*BcThwD5!{Og+ByWR9s+}8g#iMKjsOV`5={{ioFM6mHU%t<8qV8! zzD_{l2jz6AxA9OO$izntSltM`@GgU0V6L0xCM;C5$4`K+3?xcM>bmF~_G!7&LD+^^ zsgd{w+1*F^hWnak7nqUZHVk{pJ7CMH5dnkUUG|@YiCqd#uZPe)&uk6b}{P3yKh5>^MutFq2VQ&F@3qBQke($WU4`d@i zE8i^-rXnj2dlINVs=Nlxdk&M5dZAcdm8S73jd6OamTS;}r>l9X1H@UHq7`LZtAhz( zmdAeE+y=70mX-O=D-f*Mg_k8;vj7^(zIWRIP}~ox=z!;A2MQNYPU$)Vn~Y2Q+FQ_BskUWqHkeT)Zzi8rnN^Oh)9d2G(AV`omH zTb(fGiBWi$M*^VHR*jVzs36D~hfGf?;?3Skh$G)y@Ac4jyK~NnvQ%$@vK8nR@Y0EFPz!Z&IAwl<9z3YN69U8MpQcdcqPb1jfOF^q z5}=<#q|4u{JV8YqupG9{1FCK(BtF$%0ui5jipB$LzQuw$OBP84@|*bRds~`)t>Qf5 zQ;g*0i$I%0`M+L0>lS?t7mksG4rB1lr(xlVEId7bTQG||oIr;RZ&Ri}LC$%Koj%LG z(+iu)Av=Bu7US~DcT@+8BEkNoN_BX}L8^4wLLYPwkt)UeH>uKKkSaw1sZt;b{)bd4 zLY)MuQalmztv{*KV2~;`2u5}OlPZlBzfn#9q)Ms((^ToQ#Xn7z!rN|ArPO~?rNM|) zDdtV8RD4P&e_`3;PpTB&_K#F4>`$unW`tfh-=s=`>rJXO_;0Ed?QT~(; zJjbV--3Kd^ePf?0AU7Hk(4SI(hQ#w%L%I?S zT?xNPP2Bgm%;5t6mQ?Zx%hKnMAasXQL^NJz(K0+v@QU*tgDCj^ZV{HHa z+y9sEtjAJa`Bud^s}Y&`erjhq$BxG@uGx~G(!6KCTf|UQ!s|429)6v(Kbr5jLs7Mw zuY!-(Mg^fzW}Dz^C&nfEX@^fKDR+5FIlE*J3-|Sj(j;`j#8C%mOk_C;(3mgx!mg7Z zM$SJ)p{zl3n+TwBs*No`W7-e>8pWuH1Ioko`IS9kkpb!EE>b+^n2M?uPZ!H0oBciE zS_vQPe2Yd7oO#5;q-Ym#O-U%TL#nxpCADoOx zMQd)&`5ZJHE`>=o4bE(6KiyFJP+ztM$<87Y;`nb zY!$I&R1^wjX|=RuY}NVX?-Mm3;M)^`Isl`uf>}_eP<(#VONn#}T0lY=`5a*ts=y4; zPHwX*ME}{va1kwU5HEPF`1EsvsG z0qQW`2BrTro9P-{ftS>0@*aS5dlTR+*V!qhNhj*$-k&Ca?F|(VdUUK90A4v;f-J2( zFBoM{xlA67Z^(bgF0jGC{(?sM8oTl+8^`#i1{e7^T}zB#&uj`~6j2}FIZIAOZv2^s z9D{Aca$k<>T@LPp;r99o(47i>m~jvC0O$lp7`Ukbxan;E_>9;6 zjX4~eCPD4D_$Rt|SZl*ZEWE9Yw3g3rw~T#dEX+7CfpU+6K{OaO8o02L^w();G@x^| zZRfSB4f}csK9>P*h-RfZ6%`0Nl9nzB21?IJ0!%j$IW&F;1=zzK5cU@UODD{ag==5k z`6SIgzw3HET6P9IS7A{8G?Z9<=5wJO`jpI@tZ>mF9>l>-v=Y}CvlTwcuVvhk(|%u1 z=Ka_i{zA6}-qAJg<8iYyvBWPJN>g`#|0t_*t~M;RW7)?bk+aQ4H=@xg7SZ9wgl9%1 zeS4qG@7F!$aB>bVGYmd9#?J~`BqR()9zAXLmSbZ7aLDD|+;c@Je=8hj>3GS_m1we( zz$=&WanUnl)boLk?IlAZ>t-J2b9UkrkIUod5Od_xN)i7TPZN_!KFJHfF*3XveRgZ?aJeu~fo5 zk+-E>+Nn%`5xw#xpSSV~UKD=y;-zPyhwg`@Z*N6)?fkOBUY+)P)pPFKyB5nMSH-}ILzNVLj(xiP8CTVP}Jj0&F$ z*6sI9)!P#=LQUrNGA=BG+c)$MI&B%hEB;hDvqHUBZVxqQnx$la`<fIWcfklf|5!0qQJ; zx%DfOVQ$uUu4H_vd*KtkkDSxu*!V+-?{i{!c7%n;Saw3%`K)@Mr0Sp?J2gCebTPoEx7o2I1Nd`igA}s?#^!d;l&2G zLF%s3<93`3Z1W}!ub0sFQa&PQZvtGR!)1X%79Hh?MZ6BL*WR5(GfY|6w3aW|qg?Qh zn-^A0ytiYS#<%D*lf9!l%n7S%6^(;@C^93M^@%^fk!lrqVu0Kii=%7U^^~i_koxvF z-tY6Hm!SQQ^@jHiX#T#FFzxR9{vCi|u;>x5$!C4D!Z9ikEbZ(;R#tAj$jaq`g zD8#Ije3L@75tl+aH*P0pRJPyIb*-HBXy?vIb-0~(*PIcr?$0REob*cCm&f+{goy%2 z`=ZC_q(lnOn`F#am~4rgIA-XL$it$j2_@Qs zF`wY6%r_$IQ*#U=kI80|2ZwO2+&p4L0(zbnotZ~gd43EC>;pfnfP+uCCGt5a+qPchUndT(BsAKXX#c@(U zr^i!|xAki6UVi>{vwl)PK^M{Q)t^~!>ZX+LG!G%@w! z9eSG_)H;5OVmHl~4}lOOVjZT5d9kG72Pyn;zojTc+l6pVus@Lcx-io+w65hObKK21 znQ}76AT>I$^zqO_bKTK+l{*{md*9UsIg{0&y3G8nGUe9wztO9ZI8-{foyW>I3p$)* z8`^e#$ipl4{KaiCCNdPlf4q2?WK^G;=i%AG^OLxhU(T+qta-}xQt0*G24S-j*NhN@ z@^j5Y0)pPnp>9EB8k=w^mN;L?{U0p0Z@J&`Q#xooqQ$|R0y}vb8RL`QHik)vY>i(K?xhD;{ z5uqu$5;vT@!J;>1kCu zbJK%T*D@5UV+|i3Q#zXMRk;^xzW8%s^MR{llLsqj$4QTdfS9`T%G=MsG@pUWnSZhO9&6#-6ux(523#?HbpdnC<5 zB{l97V|FYS;vPe5RTcd;RNwKBD=+9?8H6!v>4B0=YkJFI7cXm? zhi(Z;E}ltd?Hqd6`T6?MkTP(Z?X&ApKN$&WX%~5ryf#Llj+1b!v9x-Y!zAThX;sbX zyUI-tldn*H-cRq=+#3k1e0YfB8Y$tJ1O$keF-dVroI}*|QdZdVK~xxVHuJD*v4sBX zHrrJ_n5}-z2lmyK^)JFAejMqVqtr&kipuri>V`>gd?onezMC0gvu zm}$_QY0#Ew(10llo=gez`Wm6T8}>{++JI@$w1|_fLq+!1y(?J8$%m%=>@DW2&-ouF zriW(CWJ;?XYm1(JX!@A()lQ-`#?hc?&WHBH-7VW^GXpiY-2lCgEK0GGx~mYEOsqh^MgsOZ6p1Ftz0_#-F$u*5zSBZ11M5sUVOTc9Y3ym$l%mvNM()x=G1kJ0y9fBe5Q{^f$fI>4`CzW9vh-Zh# zf3uMmyxBMkXS_1()=jV3#+yEG`pj|1*v0F%k(qJm`!LwSd$H65=!`9v@%(KpV;6cX zBeQC7{?h^M<5Ue9e)WUg(QW0P{dP$!CA1>e=SB34Nc64J!Y7Jz{Wi&t&WQqpy*TPfIS;?H>}dQ3CS=qv}zZ5Uy6Av z*R@on`7_*VA0H(?EnadrAS-;xtvb%7T2ox-sG8@ens*ehn86%gt4_F;40$-w)%VLL zZfnGLDCmB~Ihunkx5`K3_}3z@y2ROiOFAJ1E?Ih`x1PxfJPhDbt$mmnS*wr?ndMfm zeUuDqVx!=Gn*uQ@G<&GQt6Hm5YvcXaEoMZP1DwU+D16XuM#pXuodtBC?1x! zr&gh(TKm4E2RSbuX3R0N$XOC{P@GQ~T^z3__EC+4Kg3WETlAso$9}h(zV}rowzf3| zb>QQIZ=(j8;iMDpX>Uffw&S6FK9DUra6^qIoKX67Y|t)^CLuD@*hrRO^>}WvL!(On z#^svFaMi&jcSn|ipr%dcA~&I+!2)B~yC-7LapJioo@eOq#G`I0b>rh`*pF285RN?@ zeo01i!nNM69-U1}W6iyeryecVi0)mO`vS~XDNmfk&+AWI1G7@7lSX9`GdIf)LnuS} zaLK}%i6}#zG1D+MB=Rv&_^y*2;eM@~YDh;|Jk}>7W`aRZwQAXC8HABUkhBW?sMm-~ z(eeH2>Iy$hRGpx);ZAHEWNsBqD zF5|4l_ft_l->HWjbSXE#Ucu55+TFXo@#I{yBZcy^k&ahmu8rFsm_ zef|EYTv8r7n#jMjGlTVB*Q4d!Sx39PFV7tqwubp8EvDo#;dpnS`$Nf;JTojh?McF2 z>{AVty*uGmP_V1a_n~hMzv>c{`noxHZSgfMLNL`9?XuaG=d zw1PPGdA_L1AAC{kxVn_}q_0Q0R2bdCST%&lWA#PEhfPGsV`co=$GV7$#|kB8&K(4! zEtbJIfP#)gN5CBC2hm`<)J?Swe5ocwRcLILi!;vE9Rn^4_w8|kOE7RLYvte$sNa~P zz>aLa$RsD*G0?98{X8xs$ub?WDFPc0Hc__@Aslx$(VRb<&Oe*=o6Viu?Lvz>1MFTz z9&+){Gdl4hM0N3ytaV_M5WReC*5{0xVwN0s%Xr#}EScSjkVVNt2=*GkeCiq4Rlfg1 z8jga_d$-zn7)xD?^>+pBi)T3dXMNF4q~pFLaT7tcMy{Qt72ay;Ug#YA#`JEV^@!|} zdp^q!?Wq}z+sl0qH=K|k0^jKtL+a64zXP@1YnL{qD(Fe1BJQt<7k+Mc(|G=JE%hkX zNt{J`RU%roVwZZ%d{MkmW_9gw%vHm`zbN%Awdir|yGxDhLu$RKBG$Nfmyv$!cKIAK zW6g((dP&)K{@xrVy+i9Uc+_2@HjG(xD&cm1naqXY>G!Ft-Nshwbgy6A`LS^nKIZ7U zqx3~chL~hb&_HR(&ftuqR82em{wYPNs&>Pl&CLDNG_#bf!|=1ie4UrsBii12CGDVY z+U>IaP4pO?-2-{C2Q%NYefNLZ=;nd1Ll4zFTh+X@d&9QtX=}4H%-#hPr8c7v#%ZPL z-_SKjz#k;q-&Mq}-)(kxCv?qVj;iXxBa_^qahr^ewC?%F2U2T@lwkP&bb@IShj>zkikVJG4c?+Z!a#cop?Kbqa-J4|N@l@;H! zht@jSo5FqWB0*W2umy>&T&3 zWZ5e+z_fQf_VB{p>-zj`Z+nM0zhYH!TP`ig^z5l$%OjcZp|n@&GEecVWtD;)O1WB8 zmfgMcVN+O~W@v|AxUCdE(5qo~ z$LF3()lzn`C8bEEJH?`Az$fH+bT7IE`vL>q@`+FMjH=lvK4c5CNW9;pd&K-{KNGx3 zucB$vYLuA33Z-)kEDlMpM{3`*Ibbp=nInbojJz@WMr2DmpW75ce;zhW${nVu2vbP< z($4~&U>FP4`1Zu1=e<0}QQaozF>c6PT1~NisSx|`9SUun{x-VCir`u7=Re+D{tSFu zW6bd`irs;XtHXB~8E4TX&31nHIBXadi$GGH!jF0svlWFW^^DK3PmmaG<}QIZ-f24% ze$*ls4R!33O#O6Clc5LAc7k%tan!FQ4VONk;x`a^?Xlk3l0@^_$#&kVv@!eL#qPRP zO(QObTRu3wapLb5{cG*r(ev$niW=U@ekkXAUeB}3ho0TPcd3n2s-O*2TCw(HTZQd` z#!k;zPnzo7J7k6&eUl+9BY3fRL%z9!SkVra(RebO6&0c-GiO}v)^ zvqEcR!ooY&3wlHLIc{$U_7e8Zlw50j&S#qOqn!=k^LH9$oPuUj;kt=goou)8PMl}Sd)kPoRnrB|Rcol3Rca!$3Ar(m3Avdn75i#f-zFF;l=Sh3D)zzT^R&klW#I<4 zM7MbQzT_8AUfwlIsdj(IZfSg?sk50YKt#_rsT>8F_G7Dtn}SqbOsqabVaj)%F*;+ zt|bd}eDm|k*{a$xmX%*%wV9pxvu)-yrC5-n~QG#m${E}7&0iQCsc|uHlLCQB{^c}&qbB0&*bIf zYRu&1bEeiPs7h#2PLFMEpNroUc>XvJC6n*h8}yE1&QY6?w>)YoHd`ew%gYW3%$af}o@GDinwq$mMq&h&&Wsj|immBHRXnq^!CFH#*+USb}E8cJ(W z`tWBYdzr0-*5!}>i+#NT0*rdm^onr%*4bHDU^7|~n%OYlDPB_Khno*^p1VDvKo^Xf@Id zqExi*SG49;&vEvCJad?@s*p&IaberENq_aBO~i%n$j{ zAAOI^bs`+rk_aAKm0^o*P9{?;N?dXDM0ej)O#Ic?GKS#ybhKv=W2{@NxT zeO^WO{WHrwvlS{ouG01c>qjKb*^6tv*&{M#@L$2=@fH4|zdDD;0egre8@rS=2SJ;= zc~RrtxrvQJtq%#4LR;^K-@d_O<-8{*)obF<{747?8E4o*F|sqQ4H7MOe}Y87K^&y@ zIWN)zb@b+*kQ$1l4zZMegtPYq-Ha` zJY!?(N5oq&+Tdpc1*yC*Pd4n?)29u!MGh(|GX2q4FYSfa%)d+z6{db=7q`j?GI2L+ zPVywY`)}2Opd-^DKOQ;J6eKbAwE-6wGVol~WPz z;B>O=hS@I9qzOmVpEVT-W&KqI#--kPgG#&a}0|zw-(w zJxNY7KKtZciq?jREo$hK)aMRoi*X;yMayX2iCtmM9Z?LPN3U%eNNP)}ODWZHO)N|G zbeRmN8ty-eViqg`a>=aWw0Hdjsj*XwjPgotVOK+@Pr|PHOq0UZ6>*QEVD?abCZ-lT z_njH{x$;~65yZm|F>r4!y780WyU?cE(wgOat=9RR*mj}NQTZI&c=7j_ z!TYy;pg2EOypT|ps#rVIWD4gZe>aV73)zeNZq#n_Hu5T{`%7nU&n~i#WEVyMs1)Sz z8-1a^KU919t9PjRlK9L~tvONQTs-n(Z0OOMG4x5F1Gi1GzaGIED@o=7ciPcPZ8LNt zg&I5w!`yYfME>kCL3L5@+NfGkdCx5NoA9T}qYZiwS(hDJUvr&~q;FD-QA$pNyxXD=B_1ewpQ!8}oaUTWj}OuaibK#SeI!J1*7sH9afQ zRGU>>B9qKbXB;@9{0m;FOSx0b<&@1PeSEgmcqaONpykx@`itiWGxbg9xgvL&BKHl$ zw)N-^=)DcH0`-+*-x^dhT+=PBNd~oN}Q_mahff44lg!{+h zUs|gvAoer-b*3+^OVu8pwHs#3+(AuLT2XLgAa_%%G%#3YXN?W3P-i0g^^IG?8Ha8? z2D^4U*`ZnK-Peej&AzhcZ^O(RPdIeVM9!u`{Oap%8kI^{ChKGO6+x%Rz;k{IA#J6|R_T-PNMR=L`iV5-t*`$_roU4`x?RdSY8yo3^` z`3IJ_4&mswZ-zqxGnF_ZZApc!b5@j}qSG3V4!Ltaa+UC#Pxpbx`(#mhziNPA(U(N? z=>L$b9PI0jO->4ZcfVdXqpT&oyZHV~)!uO@R&l-1>(3w4G{e(;(_bxqJe`a9E}%&m z3w{B;5`M+>C^=CQt4J%6!-Opjrmmfyf*oa1_Ykh)Dy7)24Dlgs@hquTB^y_?>t5=W zprtZXsujX~OGl$QME;!8|5q0s@ryy3CjwnEg|l|;T{2H@b)SV@#kJT@LVi=fTvt9j z*A4R{E~Ohgd~0;YW*{^1p=g@*cPfV@HB6w9S@!b+AlSNfyee;P0<7&Bm|c5Fg20Zi_43CFMk~+ z7_3{;@t_|K*VZ;6s=3-#3srhb7UW9)?ThnXFsAB94~H?YqtUej=lWwDOWJ#V%y1D? z;g!OVaOk%Be6`moo63_kKwAwCFJxeyZkM*el z14c$|-Krm3xry6=2SfP_w*e__(+}Zcn`QO$Y4%KXTXe;Uce&!tUsi4rQ4*NeFIMGj zVDGCLvnD><*qBdT*^u1vymi~)SC~WBFD7F3Rdew3esku2RX+L+)sHPs2#nrsMEm<2 z=DhFhyAF7iP}HE=_sx#k%;QdPFYOj>q7-UHA`NkD4KGbCxA$4U6@VH^YDSu2dG2Pyc7^ z>j>NV4Bn!p6&rG@P|K*G*P#(ZpZd$WxO3(7rpm(B!=Y8g0qs0%4Bw^pzroKdFHS4Md>-q)z#!11Cim2 zaF$jXr<0fzt}J4S5(ZT-3MEbR$U^fL8oV9MbFVoztRTa~QLCU5n^*BpL%cOQz_LT4I8|N+~0fJDAs7I{~%gna@Ikw zASU~A|MGp1Pg0{+gSYycuwnM_ z!wS*L;oYT2T{T50Y}yj!A8GNIl+sC>eq{MSyd!JAr*2orSUPkunUbqjF~r&-6hu+V za1Sje>F2w>FO~_ZmziGY!t9UyF40Wzo`jPg(;N%ZqOnh(c*Sx;Lw?+%xV_BQui{t# zQfL1Mq12=L2(Q#eh2ANj&tHP)^4G35a|T^hT&EJ>9@-!gH){lGQp#Wo4v6emBun%4 zU`gby9vu1n-t#%nskr~qE}ufbLYg_gZ9c(btN8n$Ee+D0R@b}ZZO~LtFoXXq zFTM2`azE3~{L3?vLG@2*{kmeQuO0XE^&z1R#&Cnrkq$ZK>QsBeAKx{Y)l(lx>e7E! zRNu-}nv?mS9Vc|jBL8T}KP|&>#Wvw^pSP%>FNfJg*~!sVmqJ8$54(M`k?K)6r;*iW zEW9m>Tk^26jjCV+CRY(kH$m(;NsxxNdCy6(FxTu-;QS%>Xb(%(72O(g6OC2^JG)hE zm^k-%XA@DH?~Y0{_0FfUy*bh>w9&IzNzyzECmhY|N1LzvR6O`Og6?OBZZB2ZGR%^s zKpXa}>rVNt2U{(^Wi91H$6K!tyPqV@L6f^HP$e~aZx5DhKF+gwv&y-uJa3-pMMRwF zlA_&Jx9uxg|QuLlF&S%EJsP-mD169#3`I7{N44B7HLLve2-vkWm+O>R)-)Mx~ zbG0|qMo$A_FEx1VWaKZLynSY5r>CtBRy-Cc{jySr1o zxVyW%J4FvJ#i6*nyHl*VL-9Gh|M#13?%X?fo`>YOvJ>{+IZKl4Br9Uh+<;u(%zck{ z;mV(mI>zA=I(Fe|XsWhy@~l*f_sQ{73oA_Z!MML>R~KXGB{>!Yl=>~$uOsAE&6pgd zzX|DMLP@0e1zsPp-6?3_08C}_@ht0;ZFoet=V+){T|IeZ>-gDYrQ5q|O6UIW+0NfB zgn3uoeTOb_P}9!QN__V^PdAt>aoFcK2I~xT(EOe(n$@R zj!^7R4;}L%UZ08dtt@}o#{nN=pM{7oD!?t#{GOuG|BE;?**Pe2uRuR~01cXbUMd4; zr6D^FqnNV6mw=OWGY41sVGO_(55uKZ*)vr%5tVzJkm5*~#4;tSGUO>0MU|Ok%vo^W z6w?rLlb&r+YEmBuBYk<)wXc4;Sm67ln%EuK)tTwjr zyCWHDbX*t9D$7x}x&^lpm#1G2k_FV{Zmx&miib8;1Xv0dn>uoCN{`+n&^43x-WVL& z9m!MMeydMwi4AANoZv41&ah5YJFx1_Z84p{5eRIzb|aHsaALIn1A_J`Dyl@b2OT(_#nZLFss_W?&6WUZ1eu{-OC6S> zQ;j0#It3=(g&w(EjWXssC3{mjivYT|-Eg_m5Q(?k^IDsx6P;VY@9J_7Yuc@>s1|^6 z_1A~ISbaUb!N;LjucC-8>I%+-dx`7L#9GdqM}QyyvY<8^zxhS6eeu21?v2V%y~Yl; zDhJqOm7haY8BT4plq7>vYU~+25Rmjvp-C$i#$KNodn@ zwzbaDHbW-sd#(jbNe=(I_wXnD(_r-{3Q6=F<%{)v&@2v)$RN!Rp=%T@fr*NoMx9t2;GD9UzOg!)V89nO`Xr-Qi zu50cwI&{QNeb2lQhC)AQQw4i`e_ryPs6L~8HJE>P7nwP16IU^vAEcL-#^Na9mXdl| zgS5QHe0WvhyiCiQz;!1(-_}ITJkt*1_f7~`a)C^P!@s5Fg*HK5TOILpms7=TG|Yuv zZZO=DOVpp_Qojx%kukxY`Yv58o}xvW>fuitVh`^K|&X@XT$<|t{z_7g=gpi_P`qGPp$ zZ)Vn7vJ`ynU6aRg`1$?3vWsW)+KN3+W43;m?Fe zLwhdKX$3WM#JrG&7^5fS{)l}c( zauK!`6+9MbJ7#>-IU|pHS_DNflzyjpn7w(Fpk7t@&S75e(8DJ=6>ciL$2592+hB~c z=AWkXZcdEjx@;B=Aht(;HW9y31*@FD%dN?Qip#y=j9zH>m9r`iMli%#4wvYy>0@-b ztGfBg=(Mb8(-gdssv79#9p!%iNnX#Yi};=3d-|5!v3>d$hwrzE;$4dKyNH7*{q42; z68-qK`%3-GwfpOdLV8=uNb80!MQ`c@9@`@^I@#GByG_7yrWb-0o1Lkgu0rSouio?8 zh2iR%Rt;PCpCtM1np%T)TYJsO-&Q&biq;aItA9%n;jK+Z`Bwr$aldu-{+aA%np#W? zG4UEKR0<-d(9@IzDXEwh_~=FX$@PlEVOXd{zi}l6jS{0JzZ4K zwkWx;Uug5ICPv3`-QpiHfFnDGagZoK*JPgFNO^duD;Ae{15XM82yCJ&_8*Be+^TBv z*-o^-l|QSlIl^Jk6W7r=)>LaQXa*YVRgs5zvKX)i-;51y7?_vU^c~MgWHHX;<_&H4 zSV*I9YqLlvH_x{l49qBKdtu0oA7X;8w4*b8E76&4kh|9p+^aY&WJf81{)x-+TR4e` z$BY{1^vuetki_p_l;JoAU-NWXo9H+GlJfq_JZzk)6rSQ?8&E*~WCX5~h~P0WxT7!BJ*GYP2@soIaD*37Q%>l7}Q9q6z; zb15+PR*9|@E*nQ)&tCxEzDCGm8+bx0{$*W@syooUpL{r%0gsaV_iPvA(u2%+ihG9( z++12~voUha5eJ#CzDoWNL}iV1KJP5XQFDffnx)^twsSfIxf-rGzbFST!`l(O@H$(m zr?_5-<`>}hVm37#n+s5w6KyJqljQ9{YK$x35ky>Agx1?Nv=B#{q`WjaMb|+6DELx2 zu1k)x<869zSPD5qa0DUP7J zs(IU5^*^tz>hmk9gjgpF3v$ds$9HA!6akt zsAYx?B&8IJ$+v2{_qWK?dmssQ^&x|hfSUKVqE^9+t|l;oSC?G+;y1{ zyf=Iz;SSdN6o*xU8|Tbdh{#E_v>ijEA3-AO($8z)J#Ee@pUMUQGC-79yfF zG`8m?iL&@~#;f2x(xZ9=nK1V9ftkPWB#r=Jiyqb*deRC|t*gjmM?Nn;ewPXyO4;3x|yip&+s?1&s13rMztHk*-TT4(dM^k5}YQ zqg@wD*(LCfZIIBlWt16@*j<^b^^)DAh%uHQ9Oj3D*7}w3c*g)a+xf{$o{dZ&CF=^o zb%dBtR&%ua47{*xRZ=oYMlrG5_PAKQGeE);J}Joo+PKqde9TIzSeR-I!L*{bP) zdH6LEF}HdYD?XIUt)VpjV4q3+_Da$FTZH4%$iCv3CArAri=PcxvisXDl@HDG$DpJa zAvgzC?yY?0`w91U>%t_ZSU3)=q~7-rR=&3vL5G!e&51Uyk0|_Ffrm5^geKNbTuoli z*V8FbeirXAD8=`QV?!P^uu`vXzcBOL%gxveao~i_gbhyE?wz&6`yq~>EaFS$$eIGhbePA7vZ~wvOn}!%ZPV3VeQ;9b)1WFui&N}#5m4)31n6r#OHzE z+&svnw}Ph2=>JAj`RkM(q{3Z5S8IDrgeySLM(WUdu$Z^VzH8z`odl^GuxF+f^_WTe z08d>!a`1ou!^HJ_+5uG~v zWnZC8OsRK6xI@WoPg_Cj{+?QXqW_1DQpa$ZmNPpBZti`mtcwCyDk;a^Fq#BOpdFL5 z+a2eRw^e7>m;E$`&AhkBsVj5E$AQ%S81UnLMWXNsx?H!zl<7Rw_fb_r@1ei(JonCu zy{mU0sj7mm)e9y>C~Qm^tnvMs*&lC@eGy5GD7x-Cf~yTGy1}>Mna?_SDg65!w9WQv z&)!p@;Zy9kr~XF#aR%j{Z5!Qp)?4HFBzcTCQ*6Gir^$~&B+I^Gj|fG|=UuqNwa}6q z2~?Mrkb3f%gy~>$;k*NmmoaV3(}8eiIIVSv8!u!vRGlsf-lVP)YGv{d@?WuJ>uVP5 z^vgHf=T1(wrh37aTkARk;SOw8oqmpa03z-2!VX$tE4AbZr@(5evH6J5hV?eY?kk2} zEh_Y=j}pwQar*e4*cc9fw=eV9mNamlkr#eP8nm(Ms)~I%U<5cp6BO!9p*Zt7M{~J> zfwV*;81M8ASzGDso*3C_iG_F~>QT$;ScU3UU$8SyIPZvPLo!x@`P)$aUOB3vycpi~ z`gpy5y?%MAQyAj9`~~78t}9M>G`-}R;r@>AV^_y^B!s&bIlz^67*kT<8!IHZu2tK? z7}2J6l)Zup#n`<2nx;B(?ac>jhqhd>>k*X@eQv9p+TdoO=bn{Sxa31s+4I<#8dz10 z5Wv^AEw{n^=(BZMOV9&cL^W^`zW(O<;k6s=2u}Blk$JUfye(aI{Vh}w>e&83l7=6@ z_)t_wHSPqC;uy03b){-FP?Je~j<{c=l!X(gc|KhN%LiAPk@MN9k_imqT1GUYpANy% z_GwAaSuMKtVv@bP-nAWowIcFWZ5*wm6bTy1-8$w`k$vD-3GSLl#CBXQiNMkP3|a!H z^b*i%i3vM^M@C@@Y(jlEE$@Ct$)w=r-M9cRGa@vH(Ehkn8Fg&vN{qLg%#YXSrC1a` zLxr0pP?B3x{~LlfsHSL6&(l2Cm!tR%(42T;_1M3LB7luaV_83R z%Z}F{FSji%32AfIeB=NqcR|{UDEZL zxg;T<>2WTGK@H)BFA|QOb`2KM4E$T6801+bb<#1yP-B9JGUhhi22vGuYNidpNTPx` z7ELY%!#m|o(3r%ZKmz<~rreo=A`q(y{bPuZylf#XZc)9Yi>Q0cj5BAhBGXP{bt|iW z<7V3ThMxgg9E77~c*MsOgDH{_z<8J;3% z`UN|C)N}7MElT}ypu~!52eadg!fsBWd%u5rdv`q0!Xr~ZAr^vBSi*x4&$xbne#V=c zmrgJgFd#6(?HpMAm8DOB$4|z0g0rhU4IR(x`(%1E$J$$z2}4-^SZU3V#+Ou^y2`7W zEH~lZ7gGcSwrde2+{!v0|M+&BYryxlup`R#A_9JmxLWLittGzLUA5)q9h+R76{|0` zbgwTZB8D0T=bF?FF;eaHRx>%|jgBBFy1B>!el>;8clD2KX9!6tHPbcpM*HkwYaDpn zZ0N8BTwuorw45jrdU4B;kbN8&jB7(l;uMJo=xjyvUP#3`Hq2d!k608R7_+=z=!O6D zQ~;I0c{0AR0n-stFsIzEFYbVQQR?BBqMvR7v!LDqMTjkM4xFX=iBrV*T4l&U3MWu_ zV||@`i4oDo`B_omSpyi!pMOz zw2*r_AsY^3E!RX)x?3jZw2&;6-Z5mjS8{nN=rRe+z)A&`ic7Y|d2Zmzg>>aF9)XS& zmhaJqdZX@o(pe2A8>@1%cqxR=$6h?=^&I`?_mF$69?3-OX|mxLuJtZ1XD45miFkOv z-ygKQFc2VmOr&N9sq6Xjhu#0aIsFr`Xrj;+hp5Idln8}*`wV8g8rXbhVX|pbvyp}v z1-~?3p5K}NrhwzA>XU{AVe*Yn4cwuV;N0DNVq4Z+hw!%E;Y)aBR+faLUKU+b$vlUD zDH8_QO&mcNjWB{$Oj$V?nUz;>L{=vA?^Cd_97K3c0_-IofdEFkgO>xZSO3x4zm55) zB`Z>~CHXkv-18U0-uL&$xGyHWu}7V*{=?AbN`ncb+GTz@GMrO;8mDKMAsHV66 z0%Mh!%9^n^I=!R12gRM3I(PnL&vX;Fnq>VIi3Cm1Au{`Yg0%RRm<>+`FvGB|I;KN5 ze3*w^3y5wc9nCz$-0CvrLl)|6uPtKpOD26Hbe;pg5PA%V;d@UyWgp1o_-QyS;6(_4mO|QsjWyvyA~q(lZEWO|7#w9ftS%N8tqY76LQy!``6yPXu+{`X-D_63ZK8DThQJa$CWWP|) zU_zZ+Av~mpzyiRfHL285nleD04L=`ATUYB(sX~w4O-0A&A(weubf8NmgHBOdt`HEW zPQ%@gW(;Chb5U~J{i6n66kHD{SxBliNe7D$U1n1b?#&HfqROEuGN<&_%1X^}zVfb| zLj09>{3?#BGsQQ=W5c~4Lef}k#G49vN%W^F=5J178tPE&)s2oS>epdefN12LoW#?l zl87$*X(Eii z_X9yUC4J3_dTNRO7uQIOp-TlOxPLW1q%nx$6&6pZc&{n(*3L9|NUtF$a%P}{S1_89 zCL^pC4CskZn~ww~AlQsmiJK0G4q}(MUS^U->=1kCC26;)MJt6m2_YIabgeCIzZD_6 zK#3Ar1S3SJ4HH(R#V0!Ws*X5hY#WZAEJFXi6=q^2% zxcEI{pElwgxaX2s54 zI=G@Y=EmGg9+a?qa$or+elL-HJL<|)(7>jHGHwi7;a%3DNvoY;|BWnSK(BBV4H1k5 zPX`X!Yh*?UC;AK53yhF-ZF)Y?EkQ3)3ckrtb=Hmf@sZdaop;#Ll2FxImH_4mjh7yJ z!+8xJvecoD1#?Ga(=g1#(eBp3I zZ0Hlan=jWig`x_t_npnZw@_^NwL-2ytOrCifs$7@fbI=e6?Uvd)GGoiH#8;8 zUP+cGQj(KzwB*E4nwzf{<_^D+A*|?_thvjA5)aopfzK-0z(TZmj2V@KR?D7+cCjC{ z)!6u}uV?8J@>D>j7oOdS*Sh-1W0%Cz1>d6+blrX(Uz~PaY6R;yb*auV6d4#u+A(Ma6|cF*sU==kVD?V>o>nW0>>Z5>C1>Wi+iEoZ}oZ)-)ESv)cz+`fmwkI5mekWkbPFM|_ zU^LCE8!HFU$+=4N6fZU#vrRxq^y?Y^2jtV_L*c5z+Ip-q;MgRr$}Q+!7;LyuFxoLW z2*i|?Q|m>R`FmG&W60ilgt9zq8)VCkFHec%2ss)yv~otOMzGnisw-+46%i3>aGDz(rAS@McEYkWPLjrLB)->yIcK zp`3dqEhNpBYJkh9a$@MUXs?ix(#sd^VgP^=pkn+BI0 z&H(9*dKknFexz4Rlp4WjXbMo+x8jx+t0|YCv6KMg=vjHJP@%oRKXvh6_b=zl@O3zaQP+Jy#U?MXXHc&ninKhMV4axbi z2h|M8#ULa^&^b`QC1|j~$ApyiNJYSYLb5|@@Wr^E1%>o`0$eq@Jg*32V-njnblZ%~ z+ydEtU8)T$gocdRt~$e452z6A4loh;Z}X_Z%Z&qS14BcT=p1%{ItG@|*lZe`4LKvE zk$)~YvSQGI*$bY{^`J9*WCvq+ObW~CA#y+)*9jvBBLrdFAP*Q4d{Wj?2tbKMmNN=A zggrIN-Us8fHU6JM)d%QP6OSoVm24J)Z^kgLt42mUh5nCB^#U&~fpV(qA#-5X&~jh` z>V7hZ#)g)Uc$0&W!DfT2u8?Q+jTwGYiPB=fiqB$2fG!SHGuBmCt_F6(s33V^jO&t# zAzOIA3jbmaUC*W2xRBd*Gly;ARc+nO>^q-Aw{r(L{F6n!;arB`_zMlKh1U&YVBkOu z6J?StB9fjoOA;APdw{(;!rBI9d4;s*r+9HM2y`nPgy~0^Z77Wmot%-b5$rlpK{TX< zHYy`OU;5vARQ@mZ&;X6kZ3StoSkcPp8|p&`R)*Hh=&@JL6m@xpyymC0o=I}61f}o= zST^`ePC_rGF#M9(j}kCWl+g1CY#Ye|LtawIb(F6waS@Sb{rXvSA(Ig_HWG41ti~0E zeE%U}vr$xkGSY$?)9Hk3h`~3L8rNZ<{1+pFi6Qa8ziPn@82&>oqvHX8KH`i%t3Sye zJCs|oy#U}#VF2pNnM376%cHPY_LxI$Lo0v*+lFpnKnAGu|5ht_vF98eDWwOB5bhb2 zP+$`17tBB#-2Vwc-TYTY)$$PwLCHvHnNe$;49S5_MW0@N0{%mwU1d%JC1YLU7>wob zW{et2pk8xdkbM@dC`|q@W&V#&3lVMDU~q%d?eair0IdSWL}(3pB&t(jCNowB&?*3- zEVQjhE(30o9Ja-fmJKa0IKPiJn&L1BKt{_G@w2+fWnoL3!N~(l#Ua+Y`pQAamdr^@buavo1Su;qM)&*6Y5x zEGQ(C;cYSnNU%HGjWb1}L#xc5Dg{a?gTD`eys++o^^?j6QgHZ0R4^%lB^K0j_;kTE zRq%j1mQTY`K?sj7t|XJp2V5d$R#@hzYd-vi_jl&ME=2O^{Yg-;umn4D-&lUk1* zP$vRZ7E{`j z^*DMnP$MY5Vt8MTP}UU4i)H5zfQ#;9Cm{})AX-P#R8aux(lYvVj4Mbbc4V}kdw{Ke zE9xPrW}lwCZf{6Xo1sXr9&>?VO<`&l^@=Au8-KrkB+W)@M&EznlLKz37`W1{@5Hoh zNe)Y+>WH!A@)9D9N>X`G`Rfu$K$#$j5g^~U5@2TzIg*R4Gd_8xVgoM(6$Lg^ zKybZ^V)?VCchrNL0SDxRPM$E48KVQ%MCkXVLlhFMEFg<1muKWg(!sy?*--*OOVmKu zQGu=AE(!)Vp)wFYTRpx)(0(%7Dq6yfsOa{ZTfe70k2BZ^zZ6mdu*p};0@uSkgm>o4His4M|4#?%L& zb{~|?sHQNjM~Z8V8}J`ZDg;ff6V}*=t^&4qG7+#qm`Nq)7GY#YI|OAl`Ui6Vr*8bK zA{o+{X!L$JJDAKKG^ydu0lnka#>OyLH(A&n9h8tvwUMi#a^n1f_ox_mq=iA>#MBH~ zQf)E17_OScAUY$;^081pGp$fBdopBXOZK=?jx;r4v~Va4f~2C&utvrBEBL9? z=kjVZTVfFBldgzs*=_lqnQ;FN!0v1z_~fKsbsJc10vH02U$EQ@%>UY>LeNlT3~U(X zz{G#SAr;JZfB5^1Z3hV23W#$c0Z>1^4}<)s_2kofkTc-m11mJkJq@A7Ai{*y{uhAV zb*qfP)&f-yq;SX;bw`;d&4Ak!=ZiM{FNVy({$r(poG8c)@uo@T1SMw#{A-nf@Tm{7 z5wJeMSAjsm&_dR1161?`0cA6y4G5KG#gsCJLK6^>KwI?*DF!RZ%AXx5)WS~#Me&b; z0*V1pJyMY|EXV}yf>TBW7(T5M&^TQIZEjx3XD5mT*ogvlPOX3nOCSo40@|gUU?rej ziuj?^76vU%#q)`)?Vt{Y=ZP>n!0eeQ0u@1o?-BURhk9H9EfnnaMsOR|a{!$4meX@BF;^xv0*quuuI*J4GVL&bJ-z~}NT=?h|C6PZ5--GT=3E!!F(TN$sv zas)-ZBbt#1pKAVO^I;w+8fZI&_b`BRLhF&$Ng9R=y}Knq;DM*{m%w*@+uBkbKDs^a zZN4vdj9JgoSp|TY=r-k^Nk_L&Eo#coIhWYHX-d)f2!dAwup6_CXO`>;=s&RE@!9>_7(2$MTXm3&7l-99gl9==WG9zFxX zfNF0M+f6j9(>x_zcM01~oJLpknWbD|U3W;M>Z(Lmi`X1t>wRf2EsE3mwVAQ?o-xS{ z9ZxhJPot`M3((peuX6S~;L{Y#Y|ld0h7`&c|wn;I$yc;KJw!uVb2`!d;0Hbi>(xgZ|#XLlc|VJUHM-t_lM41`8N>V z=j)f3iI({btV0o#vP0~rm+d8=$7qGB+sGHP#@`)0yg%&d{pp?u4BaNqYA;{LhxRim zb8_$9@ZfA1>Kc0Hi`(E6jPM4a`?vWS(!*@;%}SZPeJ!zQ#X$fGz#R$4;{~YP+2pfh zdYp&t?8~`r7nAoonC_b&C>f5XTebo2L!Gvin&rCQU<8OoZZ1YMag6Y5v!TMg?aUUE zSdiy``tk|%u^WqIlL!Odq*g+L$IEcmTU55(zG#Pw${L-`)FXzaH5&dDl@&RkNmAl% zBwu)r3R!z5Uub44YA(TPWSGpiwS=^lwO4(yq_6>_a4;?n3DKb-U!Wx^(13wyl94J? z2QAWof!Cp6wn*(ERtsWxhpcXEKs1uEzL53;*KytsJM2O@R3Wo-UJHRVz_z~1&aYNY zueZ%rT3>=`vdx_-OmEp+zkmEuRXO(s;!LIpwvMghi);}r@}YK?OhAb;gqBanm&7Z5 zOEnNoR*Xe4b}Qvr9kn9D!$_-zLfob@35E*gXdpRxsN5Wg~*& z5UXORbd0C=44K*$2prsVO8vkqo<^8E!aa75y=#+T*D1oSR*p5~)B~cCj9L+Ug>t;r zLJ{tLnFRlTNRAWbSTD7ru1pQ&QdRGdXD>dY%k$VYD3h73Kp0o4HQkK@<%)8m$Y&#b*@euroy^9X z%{fn@h(Q(~<&Z_dOHFf20+|eq>ueZVEOOR6xqOgxa60Fw^PQe?R22kG#*WS9xZh%E zN`HJkxMKz6_zk7Z6NN%%R7;-dR`ktWDgt-6X3@WOu$oA)`)y@@Ey5MNoXAj){o($7 zqHJiImo;ociRqA~j1y7<*%hmEpFlXHlwBOMnuZ1XKx|W-$x{;9H63zM3P{u~NO_o( zcQoaL7vgHe8PB*e_SqbfPW*3BqsJefx_fYc+Oqc~Fi|DAb?Neb=AaR*OYl_+?e6yA z)wMNJ1L~#!?aE8=th-Sgg=G_}IQ&;0((+~a(c7+9yU#Cziz7?BAAgn~=UqRBxf9Ca zJ#phtl;XayZTTM8tERHNMD=EmKNSpph8Bah!VwsMZ{D0A1_~}R+D6&5>&6dma*Yce zrvB3|=~SdDP_^lF4A4{fCjDjAI1QpVceD%Z>kg*cc zsvKM;*HstQ-4UM>3$RfppuRTo=g&Q6Ehr@vf#GH?DEetYw7p;rGzt=>SrNUV7c zN`dj#Ztx@iNcg;7Fa`Z({`l$M@6|EGKDl=!YMy@b<@K8P2d`2C=A%Zvho=A)&U^{m zK+>P5JwHbn*H|aVWiZW%1WS1nm5wg($GPdZ>$Hu8H1^WJ@5$swG+`kcR3)tVQ!oAR z55rIF)RtP`I`yY&OUF;`RjgSc9544v>&TNiM#5?BDNt#e4nmankJevw-&ZH7aPU>6 zS)Q)gL@YbAl zQx%;2KDt~-hDfZ#?+}mijR$ZNhF~nzA2(&>9xd{l0v5qwNMmJouRB{j3LpHu{JROe2>tz~36Lg9 z*XDEeFmmu4hu_Sw*_?DJZ(< z{K7Yp9#<$&nFL&`=@`N&?{SdxNqYSHx^so>SZ%RK8{y+NqIOu>o=1*A$*)d)e|lx)3r^3fk9p zE=MJXl_W+e1pF!zyvM#akccaD5zJ+BQ}uDBG)Nfnayu+S?65arn^B) z(^xS>`e``G2nV>8)%rOegwZLFoeSWuO7sn{JAFTL^)GOorT{RQYi1g+K+uKh*RkX> zV2>%%ks9fsz=%ePN6j2i%8jQp>&J(HgwEQv_6xN&VqGxL(_RGrgRID0`Obf1E1zIKfQBR| z4Mzs+o~F+~XMRE#XGm%b(H)n%4Y71@{hG0oYOb>z0d)MQtYi4gfi}|*dWIGdj7J|{ z!4FER{U8i5N2NQiFHq`=V3!LIkCvmYdG>#npU9bRrSlF1Dy2xz%ky?z8GFa|g3P&f zQDFed1>gL0X0F6)#6{Xr>QUPA2?<-3pAhprK{^N;^wY`d=;hOKgv zsT-|4=wx7qux!H2H53rp#MsM8pbE$_*3!aM&_hkdT*TmeW2!zVL5_*&Eg^<_1X1B4 z%o-Sz_9!vgf#2Ici?lHYIWkxd!5N;onlYWX+gqe1L!o5WRB0`4A~VowM5bX>ij7C9 zFJc$sMHqX!BM(NAGX)w}N!>?V^#uhaDvcwD)kqpuq0a=T~k>rI4C#^D$}qUa*0?14Pq}VI#H%q ztI`-dvx?X}L$4w0hoiES) zB}Tt%%-BNo_;k7xm$D|jB^3fUbrg7xD|%OVr%nW+i7PuT9RS9PZ^29IoPc~J*3K$> zM?entso<>2I%uVPa*>6zP@xg}3_~fyhVUb$3pbFXZb4 zk0UI9EAqa~viy~4gzrLS2(A_5tnhp^ZN15&95`v`1oq19CYv~Z@K3s_dnz7!na}Ay zoi!!Kd)2mc+$1t7ElUX8(BEX?CnOD@43AmQ&8MjAXWv*PRn)|Gura7F1G?By)PaSv zyefcO0OdIrn-Uez5@%d>KGOKpgKSHOSDw03yKL^vDw%kFS5L>Ax;FmEs$M7s$laEi?*ifON5te)f_@j1L={^5ZG=kXJ=sUY zNRhIiDtQ-pXvwJB-{VpAy1Bi{FKn0=3^Uq2agdcw5FD5*Iz3B1W?6@$m?F(ZAavCC z1PHBsRr#huxOG8EFqSJ7m=Pp^9Ha&xh8IMc^go z-|vC7m|R-iGQ$%z-x#ip1CNepynVc9mq>WSQ_4RgB8oy`tN;urAUxl=tunto+?)#? z$;>Z3y+q~}@(Vcc8zC;lv^hy!w7H?O(S_hAN?2H#Ca83xT)W%i*SkqwCQOkih&VYz zYHNq&ln9UUssepB9o_DF{dH{J%2TvYf9u`8ET+P;L#FcGE4I(MW6Qx7yN3{oDxDU> zfnzc3OyN4rp6V|@bF5}F9^98F>vQvwFLVk~b46Sz9dJ0dO2Td>pr zBe-8i)@3|D!zb_l&4~!}q!}%0*enzg4`d6mlw|sjutgdQW`YpAk0sjM67Nf2%6a3I zr~k`W`n^&Kg34Q0t=%7fIUbir@Qe8L!G$%>T;r$rb%I;m7Z)nV>#n$4;>x(5B(WAD zORtbWr_Mr`-YAJqqYNz0?U8wXI%b}?iI+gSX9xp?tHAsUe7^*HWNzx)jd(kXZbjqW zeA`HP{2kVT#kt?vN^&`f;K}sgStCT2A#NB8t-`g|3jw+9v*WnzJ2C+FKw&)ui|$@x zMxwO_=7`$Bd7kr_46he9h113lV`M7U^IhzLQMnf(NJp0WZ%M*A6OA9=k?B~8M6E;C z=7}#DHB|+e*(0iHh=5&UTlpuYW#VRl&pVK*4YlRgssh%W5y`7hgaUg1qWr%o0K`n6 z_!BuMj%=(f8=wR3_qo5nY<8LK4xfI1&-(;p^E@WE{{S9b08Yv+FVoUxhgSFPZ?yxR zSVBHmrWh36m(&4Qj~cCXB{9)UYgW$LU( zTfoc`n|KqVZVYGbL8ATOH12fkj7=cunziZ*Z-XLTmC8A3TiN0ga1ATV9U)WSh>$9oV(Zko0<5i8;Y9Ha{tSuFq|158=Nz%;F(m^8(YnCOw$slrSD7?5F;RJ6pI-!$kO zhFY;3-`$0wmq!y!warj@fgY5>=+TYCi+lY1lGflt!BBCgO2rd`etvOww$ z9{FM^*%w^aX5rrtj0dIwUzB4ly0UVo7TQ*E{uVcCI1x`q6Ig5)qzkE0ynO2dFAO^S z^^O`*VY*F}A+#X%kQ?&(>`QJr;)+BMcjKGi*+kQ&MP47Xx4X1ki&y8b*WalObuqyM zIou(m@j+dfAVZi?>02{KZmV9ptoK4 zf0m3-%*k5_KEBbt-ig!KfFD;l&Zo$pOdH8>(uS;dWz~9Z`{J1X^E5bQEsx7r0VLn7 z=H~r@taGJs!qZ^fupKkp@|Epv{aSz!@U$S#07?&wZpiEU^KpLITgP?wR0DDO)t8K9 z>zxZ5raF>d4Oe+z51p~6UWdr8>ss`Kwi`T9ZIE2d&a86p;n^pzF?}+NSqCMz6gztt zfKGYVK$}L~V+;f8LmM7+yn`g~8)YQ5fXiDu8FiE{9etEet)OdTA~L`4ih**nYbgzM z%_knh++;-J@AGyZU!3w=w`R0E61aO}lJNC$Jg^W2xuf?Ax1T?3+()E$u!*kKk(5(a zw7aW>r{JYZbG=a6ELt)ZeqEWOzr;i-&|dJz@g##V9rfeXT%}PE3cDP$N?0T>|AXLN zBg;mN)a-b~-yGj#D+i;2_O)xY9IMwJqBZ)~+;6CaahH_~sC&-ikAL+1PE;SSVZzuL z4}38sIk^y3#f_%(0jX+&&0NPluw*$& zavG2vk-r-U1Em|~A}Mq*Q&9&CFNmMnTB{}GZtLR1 z3(~y)=dT;64b<}WIk=YeV1YekRk3`ci@)#mCG>PtsXY$i=*@zwWr&MDE{%TeLMdjv z*?V6)uW#F8I-YOaQhI0C*=Kib++i$Treb;CUgFOj?n`lK;VFCyNtl4GvsZW5d!4il z-1nXXoes4p>x1V z-@XU@^4#v-?C{^Q+fsDkyku(4e#G0Dd_lT6e1isNhy3#F?%V9R1ZHzO96chS*G^m# z@ToNDR4IQGFc}*+m-BpzsRn5VS-~_E5?~PxFHfXd1_KVxe`}Wp1$ssN9S6nShxINjz1-7ga_UhWklXg&;jq>-wZbFH~w_;>nIiz=tA7ON@U~1O( z*0E!5Z8Hr^bKAN&Y(S8pl~ermy{iw7j+b^}vD!I3c=k%zc0(ZvC3|~dEXFdlga@g3 zEKn=~V0l((2E#?BoT9cm>4P$b*PHbIo@Y(3HG`?Ok48uJF+0QdF^j=ZRCxq0-;}84 zG<2XcxaTqT66~DED|u42^@W+e+Gk!YOYn`=TON^*-B=pYQ4iCWm5b71D#+B)?k@(2 zEV0cm|NBRlM~&3YaN%KoIxb3lEV7ZI0Ze&u&1yb&!r4GKaQd? zop{=IKabEz8Aq3hxu364P7~?zG6XkmpbtqWFO2_r@iWF^Wx!C1>1l9)_u>CpEeVa^JfJ zx_@C$tdgJmB-sf>-XB|{|D;O}(NXNBR!Q(k5Gro8%M#8|3DxNAzSgtZ2pWVI`8BAl_db}CEJ98T=$R>~?Bf_pUIIC@&Toc1n9Yt?NwAf* z8Mz|SZ^ra<=Hj!UmDo%3Sc(oNdX`G0+qiVms4Sk`H8XnOK-Uynk|g(=LRR ziPzeB)7;?*VgEw+LJUEd9rYRd)W6t(g@dZetP(O{-|!dS!XZBq(hdcWJkB(WwH6oB z;FJZQzrZy4krq6Bou~AeXhfOD^?=fx5C~3&60W-k?wxA5q7*DHPJ^+v%@iWnp^RzG z9d+;zwyQ~8XMuXN{rf$N4ZHR2T#l>~G7nxTI0@2*kPX{1KiRv9y!Vv3YFK0yHOz=j zp^76HzO>8nJJa%*@Po%*@OTv7LOMbN~PK?yKsq)h*3PDycOyCC&7T zs!BIgwoV>-ny}ikqWiskZDXxv`Tt9@+B3TsBjIpfOk7q3==t;N=zxvylla*B9Y^%3 z3x8x(N=T~uC#&jy7VdwvnA*%#J)@&wAq)!FLM;EyD@f?g&8ZDFIY^pY4Z-Jr28LHDOcp3^i;QKM)XOD{+=e%1 zAy@hBYl?S3wk^^ zG)Yy!iFJwl4Er?HCw5j&SeaDKo)V=j{pI7&P3WqC`O37Mj6~rWiEB;gMuxagG8OBQ{a94#*#l?v z8zaM#nWzSKT9f*+VuDSzrMf{knXdLk$i|Zw&4^vq%{u1f)2T~$rmA;BSDrP6oDr?1 zf-GZ1Wwj#3f>_z`>O<~Yzl}4Vbn8(5mzz|L1oscMqNh?RLT)6LqHJjDc{y-2ONt<= zyo#_26Io$9&C;=qu+0WJTh1jGkx8y*`V^D9?nv+6&1;+6J-kX7b((QIdrBmltgY?% z|ENxLWo-_%`?@dq_>xvX-2tj*qjGDEvdNO1t$Fg&rbe(*QL@@EH7!vsOEk-3RTEXM zjY?EY?_^@z+JwV(w)BohF*|GQ^<|c(YD)|4g}IiJd|O%Jjf}8mR_Q$5@w6&4Z4?XL z%88DW5La2>i;Vr1X7USbkZfl-qvk?E>8z zc~qR;lyiw|En#1ka{;uL*3MBaRag96iQ6mU=)agy78Yxkxi++Z*jTUGS(o%yp82ac z4(L`FUR#k%*|Smx)7n?5h*qr~)s&>@%F=aerOUH6&uJq!e2lin?R3swoXil(FZ^*4 z8LC+TPrj-E+^4r9tZ|tf#5W5^t&0~aOZ@XJU{T9!U}h5}Tv`{#LA2#~au+&D+rQl|6~wjjyXj-j+m z1RIl3(=Vg~Pz=!4B*cP*L<9$mNDsf-F=g(x?up_ZO?Ax#vZ6uln~)DS-8Z6^@$7GK zYvk@`GeF=1TRXFJSl8*l-tL>&#wyC^mn=i|t|;6D)C5R?S^LQ*_ZgP?@wRRaH#f7l zxtn3`{V`Ehhm_akzybzRqtUk^I822G&7myk8BXyjfb*-X>I-LSXGh1ZYVOUOU+xRk zRF3`9Sxrqv4Z>1sZQl^xwI`?6=8Gl%s>g?T$fzy;29hIPEOctd2-1d&vep3`OZm=0 zd>`upq;|+06FwV}!PAH(NEUzzyG_XuEe&1Y77{-P9vIE~ooE|;Km!HZSe7t`HSlLG zz=RvYbHGzgAVTvyk=jSma=huYIdd1O&S zBU`nMaaLu0!(&4e2Y9a|ch)OuD0vD-EI1*9}heowT(^jbY_JN1Z6V8?)U22~6p!gN) zFWR~XEyA@4d*1fi5Kl$Z({^M-84?Ys1!y4|5@<#c;Sfs@@W0L?wIoT7x)lD^M*7cM z)tqdkd#$52ETaF{EWVoBFXgttvDFa$b!*2$JO=6nZ*N2n+9XejI*Q*IQmV#v($=NU z5N6i%F6n0LOavOkguP~D+ydQ^jc5mfy>2!74C^REPuz!p=-%U0JFv8{_8;1q-h``v zf*~DFh{O{nS~~~nWTqko32z=dY1T|nM)ccUdpC2ViH3N8*Y_bD=ni1u1Mcbdo&5E~ zEa|)HaOUK57_-GjKVk#zCxo}jG8@APZ&+5Z z5#dnjeE=!AdA{8y2yE?Ow*wwZ#XcygcOKDZ34^6t2RmuaNV(ezJm?`h+6F{e|L{jM zK7NKn8hTFGAxUfRdD7xsI*GRi|6+v%9%2U)u0Vsg+sVh%a0}K<0GvW!FzlL$4kiTR z`;I0AfGfEaKLj@~VK*-+Q>@*XLc?#fY56(}(ceWo$wh~*yd&Ct5s~zrYt|%1RxZKY z%_zoLxdnBO^qLBr`Qu17XQ%xjg*Trww&22U-(cLG8$0_U_8*cVw)KKueMCDkX><+t z7tm%0p`CbR2>EpHHopniT^O>I-T_wzfqOv@2qGIYJVJL95#z!hs0YdEPrSk&0sGrA z5!SjQ9>9Zb8DuB>XBkNyu%XWUlWFifLbk(WvIwYpB6bGB%`Tr!LIMZ9Nk-e9QZu4MV-cenJ@G($y7X(Ia|$Mekp?(YC6Hzg z(XYu%LpbCT6pteYyvy2T77CaIkwWgtB*60^BAzPlKX?s*5x^kb`*`*@elyhL*VtVk zUVv=XL>=TjJj*TM2oKTg+Z2@$PIg)gCBjJz+I}P=hY*@N*brhMjCleZ*u<7#Vc&=O z)6bO-MKr`4ku(N81~<*vS-?br6?Mg_DSjc6{H&U1>OV2ue0co{f{tEz#DC~y8Xny? z*_tbQDJ|_V9AQTd^4{=eK|BdK4%|!S(ErfBDG=`JhaEcLN{43_C-8Wqb z905;-LmFj~&F1JLpB3z>znV{&T)&>CqQ?PY6k?s zAM~gbgx+254={q3-<|Cf;W-m;^QcHQPCYE5A#Yq_hHSvYbvRt3>o}mZe@NOp$&jod zrnCP*+B?d~X7119zajMy7>3&_`Zz;_UFAJ&0Q-zQPBuN_p&+0TEi}=8KQvdkYGQc(J|Zr|?>$iuhZUM-ZpZqYvr!6-+@|A> z`aH=sP?f%_F-n<;k3SM4GQ1>4y)o1^K}zJAP3w~PONajcdkFzkF(TuNSQ-9?biEEa z^%gS{NXxBpau6J!Jn2|dvaXnYAJ&|0LRWU1RM0qx?o)11sHARB7SkFDJL?RJhz)u- zItS6a#~wk=Il^+3Uu%m|*-npV0bIytRWKs-k_m6ua4H`fWmSiP(DIE^*avx}t3n3G zE8>~1PBH|!#w2-dXyatW z6o_l|1HS|MVupNr%o{rRV@AMtrJ>&J;JqMY5~MkD4TnT+BFjQ=-#U6}>_m?q9UuL~ zbZBJEWZlvnU?Ynr4pY zvQ~pWX1&&?Fk-IlmKgN3y7_EiITeNpo?dKu>O#bGKZ+_}`mG(P_f3f247Ty#ERp@d zNj2`LhwcbL?HcW|;Tx?+2G4f}{qyr6uaNRG8d||^r@3fdY~yFL7|=E&ZHGSq(-_wl|EuTI9fulVl zk=xOUT`nggk>cR6oWqk#E(hx-f*0&P=3Fz%vf)=@4<-LlepYRH{MoV4Iw+K-)vVxG z;l|i+%R@?R&J479I~9piP4i)BT4oS!Hj+uXKQzUd(vCFN|5)?3X6Y7Y={9DTZWjgV z#S2wic8zYG)9Y7k)~y@=+vzB17xi?GzCT7knx>n`)J|e=rL?~Wc{cWLd@OXn6bs+qh9b$;OmF%fftA__JBuK**YvDIa^9tikYW7~-)` za(hT}i%N1EL+tDho)d}WK`y?VjvZ+31#WDBVPl3p{#@vR^xR-pGD9h|rIgBd4WoZEIENV(8h{_rGX zu`jeAM5UOVHLM?K)nCvor(^wEsLtr5W9>oX9TLOdF*@d0jrLzLM9nqCv3fK{YKzxY ztoMs;Dc6DY9<{YaD5PT;I7+iIO0zObvop%8ZomxQwu^R}BUeibW*aEL%_bML9Hk!# zNZf`*SRagWl3{g{VRzh(st+twG;H&;-96o{mF>WFK^R&oyhs7TiJ}NY`QHUF+UVz? z{-z=rzHt*_N!4B~lauMV+h?2FueAhN80T8EGeX0dlvo;BJU-bG^0O|!m5=XEdSRm$ z9V=2#8w;sqb&Hm{J2!Iw7&nGn7B%YWLxo;o&&CqnQ<|K#c#N&IJIC z^CIgWw&Ww1m}W^xE8k~?~$nOn@0(%OnLPL zn`R24on8m!#?R4Cr^Mzi6Q;bTBTmm>rrkYId=+uMJ7D@R6mtIuvdxyzrYoWeEaz#b zD{u@W`VA|1vM%a(HSo!od)rBYmi_3&Vi!eAIsq1+Q8bsWjs)K1Ff` zV%i&?P<+7{wallq9VNf2tw&Dg6aM~ls7Z;@+LG<4opN)$+$qVGI-uWrmNW%Oq(Va_A4Qa(9ge#+ zh9r|KtzbZ46cA2_qUU6tDWE#hCwD%`=9+>qSI9?14czAExIMmS7#mOJYw`BNK zd+BNGQF;X%`ujESXlrO@>t4~cPm9Ej1t0yr4Y9L#b7hkl`&zXjavB1?1vDfUNrOW= zhqgvaiQ9+;ZFUfMH`}@y&fzdj^^>+<)a;#D2r40wA1$E{RapLQTiY`*MQN8fk5EXD z43z`|7wS8L{)QIiVIJxeDG9*&!h6P}0)_Rhfus;a4mT`iF^V@H%h?6hT*LbYqEG#x z=+B%v>-hdh?An_a;qOtKgP(SAfU=O{>)`WoS2xu}NcnK_0iHCmX#ZrUIb)mXg{2az>XRonxBtIw*VQM}T-Lo`EI@lNBHjqkH}rFLp^;R_zW`sv5~epvrV_2Al4e*!lb zWkJTtbWIgYgx(DlCYNk*n0BhV_Yb1YMS=wN_@6?H7%(dF7y2+6S5INC^X2GroHHNy zK`FCRM39&|<}Aa@<28NS+2oMy6)F2QqBVsVorVpOw1nze* z(ay@lyx@cT1miZ2YgUQ0P0bavf+)m%k;GJ&ifGe%NPkKsoZvsw0PZ(~CH_Z+|6K~D zp`s4TcBJS?B375MRQ7Jv2t# zhC&j(D;Gl>#Ld_MjZ#qPD!Pa#Al0CeR85b3{;tO*EFx4253c*FpT+HLQXOU}~g50uZX&7>bn_LJED>2M!TvL@XIVEN$?N4G{%! z`7R|96ptW-i=ZHc9O44RB7dw76I3Fc-$%0v1bbr5{<3!$vh4~kJ$_YhQj_Qh5T};h z$ipcPJdHgsV5Edo5&?vs7u3Iu2Jk-!??BO%Z&XOkA5)Bh-9FgWl15CQe90Rdq^vA>P9D`=oTI9 z7no5RT}VKV$ySD`Z~(H6N)_>1msu&X03Z@rLvgsTxFm{-&!Q}Xi1Y$mdov~@$QUHI z0gx{94)Q*Mnffqm^`-luP1~$NqoT4gBmZi zlZH7l<{TIveHJhc3g}C^aScR+P%ptVklrZMw zrBU71t@oj|)CG+9X!79U5$?I!M4-~wm3>Z;EN!3tvNFGycnZrDcX}WYsn|I1C{B+b zFEU7Fdbk8q%@t44GK;`O7#=tNr>$gmM)=Py;_Q&wZ%0?E%(DK?AGyz+<%;MIlJp6B zXr$8veX%^*idxG+!?e$(JLNO)84AUs331Q8genfh8GsFg?|c)@c})AspfvClQle{y z3iF>pP(wG1hx>}2fx>#Mfgec{8f9?~4$L7U&{X|0W?v5wV788$H-?-B(E3j#l>`x! z9YXK<{%BkSer;_-0iT6)HMo`7%ZFeO6(()3N#6UYYt=;%GD{^ENf;z4?j|8^7a$&2 z?0}wKk01>Gl@ z$*cKDxRbrS5R@4%1J>T)aoFuQyVkV_#wiajJ)r-w0v5T&*PY(1Y!dFUutqI2B2K<{ zLGNaN+dg^&9Zf&b3?3C9ybs=QHok6~e9MK|4RkZc{N)fsw7eSQRPN6Jht8g?BwFSQ zbXjj|-+7VaPfoheGFQQzvK{#AZB(0spLT0>{>tz80Z z@b9^UTU|uN<&bAy;%?Cr00VQEV_*;=OfL4eZtzF8Ugc`U_W4nScEek>XxME+`&0+J z!w}sXEhXlFbO{-Zu*Z19)L(78aWD80q*jxM&I&k3)8z{qjJ@UyO;~Y{ah+MS#|B_` zwkgT6IEYT{pLS!rtaR$=_RaD7JR;9&G!$ z?J?SHGp*4&@6Zq#Go*PzGIn`7n{;`qFYeDq(+7yo_%>~;yT;R55cOMsLM)Gee*1F_05urO)LJ^hh%7s1ic#h?XBQovfD155Z7)Ve2*iE92%;OMUca( z7%)IYCkq|50YHiZ5+MSM9y~?*o=Rk(S$`rn|KRV52M{^lU4Kj8t#Pv!@=d^S{Ft+Q z3Yl&k1pWBH-P{_)d%i7XMnrkNP2{MwrekA}}_GJlIw@MA?mozab0 zM|IcKWncW+sm*AymUjA)c0Ip`K?Jp`Mo3UugS<4xz3PRU`(Xak8*%naFjL`FM#!+~lEdGB8(Z zsOyYWO+W0v@2)lxPR1zQ{4dGt#p*w1wmr%G<0*p!chZ_(dyDajQ34?<2MeEpj?F^H zVxeU**EE-BnJ>7|&J4B8Y@j2*s*Lc^TnW|AjQv1X{o|~#6n{u>@njlz>@vW)j7B0_ zJvlih1R>R1+BOhrB@pb3((qp4<>49Gl|Pi>_n#tU$%>aE9tmXpRMlq{Ap$qIV{fyI z6k!{#GkdViHB#Zt_Lv-Lr=e`93*qI2(=I-~aQ0<$Xojs8UsL|?6*xMA&05ryCmUpy zgKNXdYo1-(U=)F_H{hBLwRZZ?Zb2Fg zqPVRc&pzCcU}~tFW@hY>8``7Pz7nodhM79sPZ0O6LgHy% zZ{i`*iKxVsVCH^IY`%NIaa@VPCw||Hud}(7D3+Dl4FPu}Q=n~(7jQQ<6=07+BY!u8 zwQGsC9V4aS7z)akbZ(2s;P|BNK^aU0@1Og@UVV%y~$5_#GRBs9D* zljx33wur4+jgP#dKa?~l<__;_;5qvU+`{F4$?NdACyY5n;lrTO%RMlRr|9+<7{J|p zA*}U~|4J+@>dB0^<46eG<_Le&wEmM{1GfsDW;xW8o=QAHIWX6i>7~~bn7DIDquagD z$sImva_^a_p-HZ99jeW{{)b(RcCV>#kPA!=I&Bwf03D6WeI$AAaD{Vd-LS_d=^eJQ zYW%}_ta3;>1$aJH?pRNBjV}O#^|lQO?*fgVn`5rI#v}{f-;Lkx8CW7PEaVQYVewVj z>M32fvFK<&16e&d+L>3a3wPta%!lVI$ zBt9cJlw6#v_Mo}c@>k3p2(_xonN<}`LZKQa?1zImVMp$1Y3OjBi7=0@UdkiWp%xuZ zP9V2Ge@T58mIeas4kY4!YMD)w{&WVAE?C!UX=BmaiQwC{Oni@Nd&O8?#a+3Y#K5wP&O^G z0bFJODymEOm%7?j$QU=(y&Uy6)j1dD)Ko9z)ww?B4E-a2G-x$=(LnOZVhW@JCRNCq z&jU8$K?i@dd6vrqwhN~7pdh?%U5Rt7l6h>7fl1a%Y07+w)IWND%ykeQu4+`HRx$8f zxzatt>m0tNdirI!hM7#W0QinDj75OTLG}Df$Ql6jL#ehSi=*N>W=m9L?=ZyHI65_n|&5beQa zMw1gy^(dk2)Vh*FJe7nhsCDM|16Q4mes_B3*9zsdQtz^xo^!>l>XXhNOSpiJood?O zaj6}%e%_@z2%EF6IiKv5>ge$~UTt)r=bO)}q#ngCJVh5oD0Z^8oh5D|Bcv`CQ#}YE zrjYL$40y%J9s!Z3BQjicZi?)-8&T55@L4D8VvjLut~ncek!Cp-L`~p}ZfpfcN^%Kd zZ19_Ic7_bC7pYhm^XT%@(o)i02wLl!I<{58%u9^@QBT{^dLY~Skpba~Z|YhaPuysr zN0%0{@K4)KG_^1?CBQOWq3n2Yw?|D(Gg|~nCg`b!f!d|GSD`&YSOFPn8m*#$j^y{y zb^-^xhOQoU%B?Mg)sGdC_urKeW3QoR!aWKYKamw_J}G9XiOt35=@#4h9l1P+n_j2z;9#L zM>Wy;ltMEHkjh)6Bi>T0&dh{tHxsY?F0X2wq@8znPSio%O=eZ;@uKq8Yi;(XW1^33 zez>^f-D$2ZL6r*$-NDsgmAEQ5e{lnf~`xza$Q6p86Vsp4wYG`7(_Y+ z8`J3;;^0t4>CLFg-*Z^S8#YO9VeZiqEZ%Yo^A;BFq6L5u=7l*-oK@Mh7Muw317BS> z;;&@#7B3TB2>57oCwfFsab9Yd5Qv#WLE*AS{e$H7yM~Dt36_bJGHrjg;u<69AI;gT z_T@+V%b%3!cn&z2k%i2kFhskQNL?cI{rBe4%E z9P;fCDp-bZZEMMY9c*1C_l{!A9Yy0*u2c5aaIR!l<5b_AH&QKoC%qahn|e)oRaugv zcz;)vRuu?m0%jUFqXI2M)sk0W&bwS0A+At3W;t^rpu4qOJK+QqIusyFRhBW=d*PQ7 za_hoI_nI;%RBUo@+0-t^Bzl1w*0}oObn7D8M@=-^Sm7-k)fAawIN=FlAaFM{6^kqC zCMs1qH!5jU{&rW6tKDacz;mbSurqP-Jume|Pq(wf$eBiI3I?|vU9Kxy&e@v&bRImV znqm1chn(zXq%}VlKtf=oag%S4tEcD1K-9KDT}49!8ane9nz4`&sKT8Q&Rg^aeYilA zhWix#x>4F(?er&&OBxy%syc4RL8LWdA~a;%S zQ8G77adfhW{_2JcNFr00-sCDODL#I(d?C^l=dv1m=ITn^n4Ypu_sHHRxx`#gDZOCR z#DqJ+hrKeV$@$kOxT5B`(-(o11uizzD(vbnqZ)!0ewq>K>`i!GHw?#JX;>3&jqgjH2Xmf7< zXAWriBDC8rK8 z=JmMt-bJLi=3j?j>C#|XJ>ST4wm&YiosU?@&AqBc^WkB#_B*&-@)jA_*LQ9sHQrtu zEtdPx`}F-LRJZBl5giM=_MYx{1^^moCfEONk<{&6R6K6;>@+K!Jr)MnO@ZYjs=5Gf z-1Fe2%W-a}^T8DNl{&uZ^L$k3B9aDtau*U9_{|leQg52uS>8$P?PCKd(aS4<-}-{y z)&bJOn4I8i70HH^qlN!*|LSVL&az9m7*c~B@s6X?wi-Q242QN+l!-ltfA%Ley`nEi zzQ}5h;_fT0_-aow-_I$af9vE19g=?@*9>>Nh!@Cf;oWn8S zGOZy^(-g(I^_p9^Zx)H7TwWCi1vEtV06V;nW-)}{x6BRCImVI?2*1;m+sO0QH4Kzx zxI8l6zqok~l5-n$CAs3wHv72ICOFq1m3-x7=?NRE&zeSEBrKT~Hd!Ct39deAeDmaL zI7yLi08fJI+rkxe3awHX-?Z1r{eQ#}B+|OKyfTg>BVJuhtlM=mrQ$=}U4P_p-TK`o`-x{OsCW z{uNs}N!`-4Xe~uSvJbv8@4glgN2=0en0ootiH8|D=@R1XA!HZZ4B@RZ_VbtTQ;l_| z)qBsPG$+^MNw@SV1OL`UmuT#_C#AvYZ){YEiGME}t7;acq8KT3nvIMo)GHaM)=o|o zSid(lLUlb>$cxC;s1fbgI;AXK66}UyRF_|gd;ZJCT`pTE*)`Hm{YQ~&njA$tTL@!x z%M$W8U}xR!xD?XSU06yvZSYv)e&Z%#8(A z)6rTUxt(v6T5%jAL`L$9sVB2Dp^XdNnqO_YawdB{uEnV8K>N{TWj`m)6pGK&ujuUC zS+1kNVhxBJeRi1z*M13#x%v{Mo#CwjYBBrjM33l-{t$N|-ZvNS; z(a!Z^LmoGLT`qqe^HIbsPnnRU)~%wp)U9*W(C`FMx=5#Bf74XRfjcr6A5Wf!=km%9 zf4@J#>jG*WHu;C;iQMa&LF17 z-!n`;^-nKH@kwydZ0Nm@YQDc+POZ*4HpYO7m~d3|l|N*o|33oK_o=8gl@tA62bFOJ z#l>M7atvb8NAUkHoC5jg(*{wP{b{+&oQ;IkZf0lq?(RFcqqjwwT<2qKu^{6XaE`MWJ4p!T#C4zI6^Iu~wfFHekL=KbN>*30vRA#s<^YrnG0L-)KBzi%wrQc~5LHeJ~mK(>FhKJ?<@ z+)Yb3()4fg!4q0KJ5-5-_CTjZx`&XLnje}!j3oL<4#-=EVe~pqn>W;EIGa^mE@yWlSl7DI5cR%C*U6Fw| zD`i#X*a_1V$L*ALb-s3Ts+WBagX0dECp%z-DaQgxRmV8#b%Y zoJDH3B0lM~X6Iy8SYW7GiKK5?%M2`uq1=ZplN2jMfIiOJ1uyV%iW*8Q<`rsc{gUoO zROyz({L&4ZNFA<*oy7f*HVI}k?-*J=u6SO|UBh}=I?Z|4SjYosKHATK7vo2^WA}Ho zd-`cl2b?3l=k{LI?gTw!>`@U|X(GWY(>_p+$GSTG4B5p4H9WiCBgAo2yM|mgD_#>#8|Xe!cl(&)WK`d0}OJZLRM8b~*5}yE)sM zQ;~rn_(Ay>pX6gJ`R%(%kv#D82=F0PB9HWG3-}N$!4UCR1=~qH(=F&_4!oIoMEK30 z(ASwrq6Ni?-KJm4QNQn@4`#1U)`n}{>Ba+HZvA4Nn|2kF7-zA?CNPUO*xN6k2rces z^n7z$dFW;sI}^E9i0onRWHP3rmChisYg&=^Eskx~|BD&y*$UJ{8~8F*L?<`(7sU?` zUDW!j9KgfOSp`9i$dm~?e zj3BPN>e9&-tXDcOxt&2iJFS~5Fzz+v45je`<<+8SP6+MKBltF%L=mOE+%5{3X!!>n zz(*-hCMT_%J#ZEVm2Bc2<<&<9^+apbp45ml!Q zU-g~$OilM*NN>pk3vBKq!F%WxRA~58`q+)osL^;x0>wqEilg{p@vqoXe@vC4ytWX) zEaKS9?+)?_Qti3|e14M0eSMGzd@TE`1H6R6ZeFw_>(7+|KBJ|(t9P;Bd`HT2lKhE% zpmE!CD>+Wf7fIVoiPAwV{HoX4fQ4=+m?J*|saQ|gZ`mrd4u#?|zk9A_A<7f9Ve0n9L=_mHR6U!=r{;I$Jx{vXd=k#`eUrm(b)z0jQ zzM=1HZt1ng5a9Qg1hcR29)FEdx<5c^8+##N`s*L&|D@L^;VlYQiyWm??H3Z2lVC8B z$TrAYE};0tFtF4!#!-`#+E?h?pCn3vfQS*LM9qs-b00mh?WYT7pA4>C{Q=dcge)T( z#M(yuRH6PlxU1hKHHSqnx7!}1m(-n;(nrPzL9*(M(zgD^UVPu%H~4{}qPRkS%hpR7 z1H&M@JME_^Me`55)QiDjrJFKPI+43Mwkory<>3+PdbQbll*$Ur@p6XMdfy$lYwg)t zHv;)&6CD2{I=KFJ7|^v|x?6Sni`ohn^=T#)phK>Zl$?{+2f+s+UL}p(CY4v_rk~N5 zobWrjoUPxr$5PeMe85sqk;9pTKd=-gnX;c=ewP(YwELj|yyk^!^&vODFO&~rbo_+W zLDtFU;+gb*y!2+<)#`l}o+fi;hQoI>cy_hhZTUJ8ze%{=pgI0MIHMB;8seAzAduZN zHg>ng!#fz2C=`m^?;#G27TeDext>&d)$~gJ-S%MfjbC0IS*KQ}Ct(0_M2xm;?0C22 zH_Xc9mU+=_4bUnu}%9D7 za_?SLY~Ydss{a0csLAehSE=xKf?*dUZHak=Z`QHfU(`}yu4IVATjNS|&hcGOPmVb$ zzRj#R)(UsV*TsH1r-J+W61-EiCskecir3s`$Cmi~T3T{Dj>J*~+d~<8!-i26JVdZm zVee8|!Fc9w<%^_Pu~bR$Yk*-i)(Vd}QgI@Smo9WR25)mc4x^MM*H^K6ebjCT+a0$I z_d1!Qg1B3`5swcIDe^hDNn%H4H@f0rbL3d%7n1wcPuiT!0r`dFsWx>E_%Gk`s0%eFPvC8~T zSA`O&fg1-SlLz~LKCj5A#kNbN;`E|pAG$zsu_8sTw|1=}F%A`0eYst2WWw_HY2wwH_qYHo!6`DlF z^$tz0ys5hi1D`&XVbb4P_`IlhQLx@P&lu{56)Vx9>TU>ty*i1I0IF#}2Bq*@Z8>#r zWk<2SAZul}nry3&ba_Eb-&>(OV(|x5zx@LD3LA!Y;i(t8))b?J4)*F4rQvAU+Ks^^S` z$G%iNLhu&hst^zLit@*NseR7NTm2%2CXK9x2i^E0xd{WzgE4B$2aHraBvTTN?9;F7 zs5$s%MSx#sJ}xjAwK(Ge6WMZM`XDo#;=;t;mE^|4Z%em@$CzH#_tMQ-dKheOu_N1% z`f`(sP|}VS<2^;kW!_1Htg1qf#qHHNV+d9gDzcV*xqXc*teS10W6?AI>;dJG5$#=- zs?w~EHiEKdSCLmR$|t{OYCrUhRBC?K*>O33uY&#?$Dwb{D^O{j{w8tDg78T@ z(_}pXzT*B!M!)%%YXGmlo)(12q~JKy2PMKx)u@*?5KXE~`SNZBHj6k4VV!#Xfl7~@ zTt@0|43k>h*{ykWCsf5bqrHS3&8kRsL;1QWp;rmx;R~a0N~b>EiR0rESF)ZQw4E@( z{@kX0fw`|-r=MtNUxV`jqq@?eME`3mse5_4CuM+U8E=cL>DcrEIm5DxGqkmu24f02 z^*+XVbhlX%zc`ejNAzU}svf$nC60E*GJ<`aRGHVv zin7O+MYEi_zUowCvr>B_WwxDV zd%4B8$9W$th3~dt`ZZ$M)F-&C=$B)^{d@9g^+3pMvX;m1R{5&3gOM%K(;Icx26ou( z{8c=Pw36^zwK97zV4$LRI~aeUrPPlbxjhVGSown`Pxtr?g>6bB5yoOBzC6AQ|GU{nx5s-6`4a=`jIT(HvOR4MG;#-nm zC14jP%CFm$$f&4c{AmM-Vuxyc4~|Evitv<`f9XTr#XXZgPC)(KVpXbVpD0uxZX$N7 z|K67x{68%=C-iP4_f`s%s*nD9!tmq&(zd)HM_Uu2p`!9n9v~2>D$n!cPZ^Le;8Oj2 zKfvg5LP=)D?`sd!i~UPG`Ewf8Pp`T#a${p^{2I|76{nKgTOFnsx1OmugP_#mniBFd z{>MMk&>Pq%bjtZkrPpi;zXNa z3W^Mv!ILYKDyAdivHh?s1j}4=1iw;s^zO7SeR((!l^iY<-nb2zur0_gey0usG@HwSBN^A|KfJ`pj zp4qj`PffP^J`=~jFfpvl2ARsD2`m2%)W39r=ng)AVSUgQhZD?R(<^Fyd8kZ~Q5}4z z-TJ%;Mm7KaXS@2vanquj{Chv|B*jv!?=JpPVuH7QoA+ChN*%_>)^@e_rHG(gw(s%v zCB8#vLWS26oneWO(u@;q8UmoSOdda29Wqp1iN_C4uUAi&-k7WJz)+qbm?py|v@~F3 zmrT}1s^VdE7frA{i4DI}ZT$ZMUqGP0LAt8u8DsF$_2VrN=_u}V#^A~(Ih3BCI0n}T z>zP(l>M^)({r;_6SC*iUw6eRyeQw!Ww`<2)J9cOHf+Lj0mF+cY54cy>Y7t2T_k??g zDp1kn*<5Spc^Vnb>C->!8OQE2?T7)1RPU6Dm5GncdQNrH><^UGb^%I&gb|Pc`-SaMv=9sN!x8Qv#dP*U@mdsyJ184tH3; z=j(q-UpW0Ly=`m1@+e&CYbw0r_S8QeB#{aw_NgLeGCLm56e*qTp>Ui?naZ}o83(rx zs3?4RLepQaDyWDkQ=eA=|8oeetSy0T5MmA9ar!KhJq2?qX~M%z2!?{C}MpV2gF7VgUnt-qto z+A!-EYRr2IZ1-q|DfJ}y2cKq|aR#h;G(8#0vt?KbN|UQDGZ&p zyKt)u{pe%(O~N6p99k{nN%((-i)11mhQA-YC_q%v_BgmQ;Vr(O=_mJrE#iB468K-> znX03>?`d)HK*dY_PNbWhXa5E}#rISkzZYC13yNp2cf&K1@_gV);e*}E$L|I=_)RiC z{`asX*D!%!Pd+Ooc9ES550PK&;$ie>%eqh_`aR)lUl^l`d&4HbKGSjj9Udgshi9$t z0hh~q!t>_;0MD0&$?>Sk%L6Y?;umW#@Fg{o@4he;-@{J?9tfAph2lx}hrn|J#2NgM z>0(*bE}jy2i7$=m-~(6?Uz@;G^L28OlbET#HXuuZU-UfJug~=01y~f{!w))E;0oVq z3Zu4j;DPds(|C&Lc>!sr@oSK$Cx=Qz{P5%41fC|pil0pUCtT<^#Du9u*eoh%22WC4 z<-cY|n>kn(Un}sG!^>n*@B@b_cwthWfem+AHQjoRY_m2VdU!>k{2BZ-<}zRR9Wz4w z2RvAQvA|;uFP2Ni?-lM3mn7vuCli#dgUF>beKLV3qz!CS^zGr}o7i&tV(!UlnW8Xk z)&VOM6JCd(RvsNMtb^0x^ZAJk_aJOHy92x@X&87)(JoBkl9Zj-w2s;2)A&j4JMl~I z5XTIjXna>weL6zV;3mj#ldvj`bzh2KNk$tvp%y0Jh!kXE@RRU!<}jCuIOpRB+F>r! zye;9s6I27dJKMQ+fY^+E-y;qnQ_?)Jn8w7h^F!>JY9}AV1zU^ zl^p{&HYrNYEk1%@xHbr`8##@iJiJL%g7LAd@LO;8-2zkkBp&;GYXj31rm5f7z%+rM zmcKcoqoR#R+uzq@mC}OO;P>xg&+FhUO~4_T-;n<7r$oxX=8b+ zOZ+T>3u5J!-TJ2qJR>qUpjxN#L#JOQP3lwszL%QUxin$MCvRf!uu1rC|sJZ zvxB#Od@d{*l{G~dCj7q964-=y;Eh2s-Q|_;UYf#>8fxy+RWRpl1in1DEPO zFULzmSlmM{{KyU6=w%5!uGx*IFa`O6MhhsdeJO#bMjeSxjgT^)pTd`-dZU95#CmQD zUkvN5boG~~@cA%T+5exKzbi-0kCp_es=;NXkaSva<4aqnapP0^$A?z ze3@Ynywmt?{42v{=#v3>d(xF*CVh4ruL!w3%w$aZ6Sdd~Gnp01d(>JZWHMaZlqP$6 z0@sBLqZ$kR{^RWpOdY%=k)!7_1@u0#5G z&_p6<#3rB2Pu-K>FweZU~oP*2$kw z;3HlMWstojflqnF((5lw;MG3S6njwu*L%cr1btcppA4x0^<6r^Gu0f$E2Iod&q?65 zp+c~il!ZJiftSYPoQY09PT+mffI5S>)La|Zs1fYFY7_`F=}+nK5`-6rnMlJ0URd|m zFq7%+Z%^QDVJ5Q%|4{<(_cU1!xtFSiuSYC>`q>G*Y6SIl@JrbDc%_u1@WlyyA{Y^- z@LH31R;u5HIdrzXnZ^%yzFsYDiG`bI62BMvekCjM>|&?zaH5>kfw*(44|}EhX7IrH z7ps|>%cAIQyh-8bVR{FTUEbI%ONTx_|3kQ-E}k|2X*Fddx@Q4BR^@o7%jeT_p-HUu zSvWH08`bh9SXWWt=Sp9x%*5$4c;NnfBZ<<%n<;LJSyU~dU#BLRL19#D-kQR9!t??^ zxcNz#K7n6Fe>X@kI(WCpS7mJ(*MD0Izo_a+0Irtq`|Mtvavw`x`0z}TV2 zyb!O&iZklZ2hL032@Q-nmH4z29@)&;rdvfG-@vF3r(c)C#SM%(F+L}SM>jC)F99B% z!jl^qY5xj84*yNF_vCcr$TJ&C)CZzpoWipj81+{ok4oYEX2v$%wR1rOqdp3Jc?!>H zV9bf}xhXuhfl+_=^6Lbi)WAsFH+U1)g?M#R=olob@VzO#G0HPRpZdHu$}>ryWZe+u znWoQsu1CQw9`{(ZX(WE2|KhR3ox_wm-E2bO*Hd_bNH?b>ZrJ7)c;Bfmj4U@|fM3&!q6q z5Kr06_oVP9$!ALXmr}SqD73vX_oEc93o_c>WpTwCSNvKEmjz3-*RVIH@JhV4 zE7(pvWA?ceUKLe_a-ff<@cJ=m?d$J7W&n2zyj-s7KbXS1qwOkV%ePawLQ=VIcLzUj z{6MHV9D@jQ?tFH zb6{&9zaQKZ?&)?AW4P=PIL0NI-MZ{>I8qT#w!eSSgxkTr+&4_H%npJ(I(3`an5~ED z+qDis+&TpBvK#<+bzU|8m)!#H?zXe6YcH5^UMSnYC;VNguCm4Z!`)o@OCb)0qwOy~ z2-^e34`}UOe|nyxo(yhKx(fE;YZ%1QgWi7aegPRDQt)ovD{5{OWSV>@fNs!_Pcq2gB_{g_bP)!LcEh(ij;O<&C(;qh8+i}j$lXr~IpLFtrcid%s{){spzH8@$9=vASPVJzIOsPHfna9jHM3$L6gkWHYyD zt(%=#*t(h>j{lm(e;tO5^V{3mJTmqMIWlgzd24J|y?pytYyGT#cM-`;TUYVloAK?f zEB#(JsotK$YHp**_zxuiog&XsHHYHAsG38N5v!R)MpI2+RWqkvK1NkDr{7&bvZ-bh zzJuR|r$NCOXeE1LKyN4prQ+)^Hzo@?3sv_rShr{jNY<%z5E%iUwW|93a z*7FHV!|V?A^BmIeYCR+Uj`j0A((h(HBmGYGa}VjqTF*$oGs=ujpGBEX(|dchZZY4- zHsRBB^|Wn%KHFERWnQ)MXl#RS;(hU-3!4Uu+p_y$DMmwsTebGYf0J(Rjg6;&b+NeZ zgT=w>#>HN?PxXX_@28~cp$7L?<={WCoV%-6d!)gG@L!}sKt>e%UP_#vdf_M~Lr?P` zm$h!y8*JDxSQ{)-jkmzUj8Ge~2tqsRjSW+z^dsq?q~F^q`i5!z*Ae@*_QpWk8?4OE zE%et=+Vu=u=`UorQq3GJDsZj8m?a0a_8BbVk6YQV-P}X*#l2Kj0}^FV^{*xR*DaMK zN>Wu?ma3L5&-SuCZry6BzojB?trWIA>}#E6h0??F)`f*^oq|_}$bl7R)f2X4YM<6# z^m|)N+xo-dpx4jFRE4^@meS4fvs3i%oc=vc|86Uei>CGtZ${WdA1k+DY9GRpH8+n{^@2J{+Y=3!Ol9dCEJXTli9xbXOPX}pA)hUb}>by zzNCCFn^XAQfShG+^L!`I<`GaXfKI0v$)&XSwzFv^n0Y`s`}HF__6GIld_SASKZEQZ zSioR0yB$7m$`0 z&-Sc`zOQX@q|!+*TSA9aqHa|5-XOatJ`UR1QS>*@_NTu^b^!hDWJjwOmCl+a=$s_P zz3^>iIrV45RCZtdGo3A{cgUSoMT2Zl1*(E?sXDafl39xIVs;Bii3!#Y+1dqIvivz_4jz?Ik%y|MRp+l?PNfIyV*hX zcOttj{hiDXroU6!A@p}TJ5&{Sd_Ox(J)*6TQ%YRfxqHXz!_VB2?NJ8i6#LiGT&I&I z%1A2x(3KTnH{b?lg6_((smD7=uxS1Gd`$5M&&#R%hfTM zo*#@a*43qE3zZ;PbWJH|8%pX@y|qd zjH<uMf| z#SOAMpm|XGfX6iR}?d9g{GmbnVAHo3I~?Y|?)0WK(q=IFhS6&a>*kz)p2MGO77+L|1%| zN^nrskgBzBJ>}U3>#4{#T2CG7M>rXvf**>Cw#@kuRA@hIqk_u00TH&Ng39^9+HteP zUY-HAr8d$@`0gOfZ?2sYNA;3=n87~b>Xx!S zRcmN|L|nI4Of^`bp&>Qx%o6|p5`ou+-T_oxs%H{Ixmiiw>b_@NF4mpbYM>lKf ze@tZS^*<)FJ=H&Oshg$hAFFDOm)%VL2De^5&U1QY-O00;ob0C!JG z&+`?xa{vI+cK`qf0001OVQFqIcy6q>Wl&t*wx}IExYM{xfZ*-{0t654mf-I05+Jw* z_u%dlv~hQLw*ZYdp@FaS?sIPKee2w+@5kvHJ?4;S%r%zuT(h-pJvF)bq$DVzA9E9S z_P--HuK=It>Oi)KFWJDtLz9PD3iC+fm>bOECyCqQUoE<6U*40D*!F}O;(aB-Y8~K( zSEUO2@Gk#h(+63W{B0+6e49N@)p@n)GC7{Lb+J(q&hXmdD>}R-;$rUM?%{qop5A8R zp569b?YB0S*`}svaL}Dl2;BVLKWW>{HSTtQ?|x4p)DX5n>`pH1`2sZCKu_tPrC)K3 zv0_FPVqi0xNuTgAAM*>7FmkAN(R}StlDo9wH_Z(}1hB1J(bQC5WECR?92T9;vOKYo zl4=XLPW2RMpw7}98=uq{jcK8=66y1Jf(lYjc4&k5{#1EnG%L0{ofK$9A!$|1#c8)! zx@`3hLz z`74w9yD9a`&-u)v;%rT<&RG+#HCrO$%9Lu2#>3>ldH1v7L`U9lt!17nC6w3 z7L}M5l$c6n#&TZPx3nL$ooWth^AkixJ5 z%fld2-@d9oR*EKzPp^`p_>@wfXdkt1eao&87Zsp>i-m+#-^rs^&!c9Y%W{+hzcBLs zLtI1M9=m&1(q3$DP!Ph6Rwciyah}#FAXWV=R3lM8<)=PfI$>(4#u7@y$$jZhQheg1yHs0GO7#3<)@YOcX zzFCr+a5_D`YDjYJ=@?y&T*=4j%g1q%q&p0kdykf)P0CcK&c(qu?|1mEeQN#lLpsT+ zsEg!=g?;JMIJ#S|Dsu*APB%DD%2rl;oax(lfq{-M;&r9RlO(5Jk|GYJN9cDQ`8j;^ zH{ZNB<0scBQ`uj?S#@74w?~f02^)-kUQ{`Lcl7SEbi4F;*!L7to28@Xoa>z;tS3pn z7_T)tV}F2O@r^=7Jw65%*qV1r`q-L>1yHwlB-}FgR+lK7tt!o$L_=fU@R<3IA4Q;P z8>nbEl|i2Rjy!Ki+Zm;aFeb&^V&S`4lufj^w@ut(y(GPv4MW&MOq$~8%pN&4j~+7* zcVRk}YWO4xMtTMbCy$t^waM`Om#X>Qc;!O={kiRV$6GKI zj~wmAHad6RewShfq%RpZSd|}GehL4G+Og@XcWcmMY?J*ts(!?wjBmEyqQcM4eOZ@= zDmVUfWl9JxP#3vP7dcE9c|aGrNEi7)7a7;algOr>qM->#Voqu0s+-jGDlYv+;5z4qP{fmvMN?6}yf%=K;xwP+Tk>!zpu(tiE z2wkByNuwR7L%xv(N+i~%?Ryq6B+{Z6(s*6L*14Ded3=99fi)GbTx=q~Pv*tljn_<$YPoQbzT%=X~!hKumD6_GU#d z&+b!PnSGvinU{c>&S;@|NdA|i`z9&fB-i3{r=7V*DdMyUf{rBxjZ8JVNxG<;kzEOA z$cu8ET->||*zLd$FPlIcXZ6z|*n5imL;ww@*bW|{LjArP7xny4WU^^ETe5hlk2{k=0Hd4u|gzTPoh zd1P_JNLaY0d%^6i!vhHa{Cx0YdKTTwt*M7ICKt%f3&Txr*#RQsGMK0{eP3>C@kHLs zU^0xFR0u@Vew*R^RyS`+)b~G0Vd{j`SX$H%4gftVbg|14abk5&_uVhW5@3>!l}jje z-hZd+x>nTEYijzNokRq!Fud*9MATb5f}Ef*yy)0Oz?+}LnNV6D|NkKxURU7#g8w>5 z-L*A`jVmHQg#gpX*zXC2s{5s+0vVs=aT@882FY|AS}6g5{k>ob{m;>;KWE7CZAKIS z?eB8SiOei)J?L} zzH|xwu07w7Z~p9?w}FVAQcdREnJO76wv{K?O6sG4Z=it3X-8pjVL@SHVSQoby)HJh zqp3o&Vxk$YsY&qre;#BMl&8dLVJFz z`k#GoeExefcZ~v__R#)Ov#lppHdT66W>iL0R#Xmx`@phe9oi17MzxSU*EPzxMJqab zJp#x7e05p%mxiDG`QEmrS9g|Lty|Zs8he21Jy)>+5>d^!E;gI78K2xzXyRjbLe5n% zV2EUH5oot|BF?4}&rnRJ&&p5s{HD4~B-b<B($ESdvx=BBp<8!<2gl>F36=LJ zbgWLhIwdr-xrra%_~OL>c})$pl>hY{yhZUg@J>W@iB;EhRCiT(R(I#-5TU*n0J)m! zCsz@KEfnZCy)$BAQjhw+P@w9|R1f`m^%jn8X0(c}AUD)!0o(NF`U(MR3<(p7)kd5F zj#lkfFSBN-fGa_Q{K~>9PE4k?qn|FD1Z_ zv5Z-6`2K@IfJeyGn%~sges~@dUlvBC7T#5uC|hOZIbA-AN>b^6PlHrJ@iN?dS|a9L z`{yc-w9@|t8ZzpEhWG+G^+r`@QW&Uhmw1q=Kh@~Ff(nY@m`AQH>(EjB%)H|VLa;E0 z&u}^7^k^2HZ2I}_W0nW2u;*HNz7VxYqwO^h0{{Z!(wKe6RB`tcNbtEhuV6FV7$!X$ zD!u<&GUIFhuA0#IR}Wl?oCdc@_dmCil*lpJ^82U=6Ok-(tWvwWV3V&nQ11ICRqFT9 zCY(e9|IpTt)Xx8U*2*y?^uJ;Y4EF}78|v#2JT}Q;V0sQCR$zbWmWg0>d0RL}$|Sv) zc6u*vZd9=r!Q`banNvYEwe-(U?X^PK9{*dy{#yqA5%cqu%>0Y6mDBf*Z?oVg;Q)cm6Y4^Ey83kqY(I5ranCF0mU@QK zJPpGG5C&!q7aDqSap_HcY!r9QZRnt9<=ljG-GqbEgkyXq*>Lu4E`4O3RoSrC8npdb zp-)2sut*v(spn69*IZ?i$e;NhBWa-V8b1JgnM4S>iP`v_(AnvpMNwp>pT&+&Bh%(e z-)1&J%U7Ac@6MC7UTL1Fx1f+hMF9A!w^q0RnH5BBuve65%c2tTIR1nnt4%keA$zTn zD3S70n~qUKc2pxV(kaq!ilzYPT6QS8UL&zqF0mqUzk)W5Nw!W-wLCGof)@8dE>0OT z3|1q}1?SVzhczV`7b)llP?l#QU<6r7>HJm;lohwF;nY}=DaNCD5bSwL&V<|^GjVj5 zS|8XZvMb;mCWb!Jno!AVE8zS{3_YNwn$VYLplnIApQCB#`ZO*b3mjHqbxw{W#iy1T z7*=6)R*xlB+<#%LIBTvS3|O%=bgmS|u;i0jrX*5T+`#3pmihJqe`{g)r-9>{aegEK zch#LLhQnVfvi1e&!Aqry7uZePfi-tF8YMIOD43ooyS5&i)eVS!qMsPV*Q z&cAkG=}6o6LFqR1+D-3N#1C6)VY^ZSZc%MYw&IqLdYo)Na4sZHZtct8QQG4f!j*q{ zqjAMKo6af>Q+|-~ndJ!gl64(np`N7tK*Kf1f5-1rS0+gJvjG6>l4%`I!-MLI6=);L zWB9S70d(N{Vi(Hy?X)G-Q)WjokBOCTQ`}Rn^v7643*aJ*sXfc{ha*AxnMb4rO893t zEi4Cyjzmwr9gTjjP)zFkgGUMleKudZjWP9^NAi*INC?(0;*Mk_LzEJRXc9|ljxAkQ zOD>w9eU6oT-oQ=%fc%106koBDo-l>(zof(4@=7;njiY}a3*8DCeeEit7(V^!(gi}w;jN|cUS*7?$&LyY zyKb!eQ&>W=He!Pwc6@;ZUx65eSN_O zVNfO+7xP(Nei@iUe67iGCzxQgOMW@D+Pm>k777md5I+0iPYCdp_A$^=PmW|NAORu- ze5KD}gHY`Hg?3XJQtHci0Z>XJ9`NtgR@oPEFB#T9`35@TUIhV9l6gB=811scOB(w` zB2PDKLf6z*b>b+yR6S|0@PYHIW~}(+lD?4~Zz9-u$waQgfHqjU7J@RnE{frwd}B|r zBByw>*{*boC22+HTqF7|(qiMlu5&Bcx#oiWyCf5QpM1+XbR(3p4dcM3UrVvJjL~p* z&tmGteX}{(BWifEX|8ynwOy;O!TnkqV4sra&u~)V6hY^vhEAWZ!=oo8Az>i&+taoF z_v<Sak;q)U%_uPAPG@8;0Xe1r;BsC6 z6!98ok>aRmzY&pM0@z_tl=kIU9daZEdo#zCQB1Zn1e42<@};5fJW`B@SK!KG@Sgtu zr#~x|J+`47B(DxX@=U5Lt5pa5tKSXC93jTxPI>h_h(Y^OQU@2=jVGE0Rvx^kQ5y5Y zpn8$s565|x2S4?r7u>)#pN9o}`i=S;aqhcAfs2Xzg6t#*X5Xzj$O^{|V1=md=Aynv zgFo%iM8si<;$#%(O3u0BUC9DvaC6^5P}$*PgQ_33EzRTP%dDJ)x!bx!56|E&yObIy z0B%UrzjGCQ8$gVVJSs|xWnM12a^dGCxLeG=(sC1tT#=X9qETS`orcIu98rd%!_hWI z9-m2?mmtt%{}!Mt5d3)uH4+oMD+2tkcI`+AHHM{*pthelbj8`GtodjQhOBw|TTs{{ z8i6j2w&jFzK2LDiB0PaEm$s!M)cIEi>R8oI&qDo>upfx1Ea?9hD(aPx4+&d@CD29H zwq!BRZwmb1bpAE~|L+;Jf1JO4hWvMH|0~X!rGuesqs(M2a4_M@n6X^Ex&(-b9TTa7 z?i`D^7-MNmut3SDVe%X?m;nuC;PZaBje=v?HHV56Fu`_kkIdUNiOyhfA&7U5RQ1@ z7E|fdgXY_o=sI9dYN#NBCvgckwhGr*)LY4(m7s;6bF2!@QszG#*-oOd{i9sz$Gqxk zry?NYyvCsc;$D3C*?4h$QZHB}OhC*A4jREYM^sA4J52acik7$*pfc7a)$ax)2~U)( zcQXNruJ?G4YW;T93~S6>YWq_lk?#AViR5xd$5xui2N(;a#xW4XeH5)23V8uqP@cx(Sqln1busM-Dn$v zG5ht9yA_3{zy|K-DBV*^x;vQ5b?+fmS7R1N#J$X+~&fBuUuZZ$|=q6PZRwW*@(>wPhbh;VgbSgaW9Q{4+D&fRdNl!c{`XXttF1b72`Lv4ZX=CAW>0IoW#(UxYs<+2+x5C^(DtTi5 zm_$N3u`0`+-3x@GwB1F(ijBm2`!tq)j6s)&3#%zLEW^JWJwXo;@axJmn{e#Dl(ODv zOdirQp>7_!h}{fd;15qfOU9)TO=|t?>wHKK&ILQ=#{$8Sq5bpcb@t?GGN&0{`J*bU)% zS*>M4S=eol?652|M*3jU%(=D1zBbqUbn_{_8{8cv}1vs_9n|6@k8D{P3UEC7ri1ItlBNh1W71wEC9Stb25v#A}H$1CKSX<6S_I5@3gxp%~&f=1U z=XcDiujg4_`D#y7Jx{;C{$lsqe^S+hlF#pgf7_i3f zEPyIaXMs{PvDL<|$Z0l-MSmG^=xTB*%_)Xeyb?C9oJY~Vu3gf<1S~bJ1I0jmMaWV} z-$9t|X+kCKYr-6;Uy|6}7}IIO0w&Ju+1-TFX+i_~>>AnKs?u|jUw^D&n3UV6lY~X- zzlOescXRCE1jqyVTu2!7553>}qCU_al40jklRB=M{y}VCWZFSqpG2t{u`6<9SaRF3 zw|@$?!eMPt^<=sD8tT(pb?X}1s*py1%88WT6}m5m_-jYop^82`so%<>`(ut&xkoHL=wU z5?NP%yEW#Q3G#zXpl(e)e`oImjvGxxWIj1_)gN~CM^}n))hW~1Kra%-f#|F!W@{Xt zf7UrZ*XW_>2e9l#MJNMqz*bh$#gzw8F9||R7)fEC1Ggp!RCW=vk>0Xpek(TSR}OMn zk3$igdQS4f_+5;B!SILxRExyqF*{r_G$BT_z`!mRcRut1atBV4zE_A18Gi(>U8C_t zA3tzRg=-0U3Oc8=2}fCUijWPU1!jA{iT-Slkm-hHyZGE|zJY1G=+|p*0MC0S0V>sp zqbaV4kqLhCG%4>&7b9kaUn2!_Z;xbAcFB< zFqIO_Lr>haJw@Z#mOz0`*h5d6f1J2CF6*s&fZq9$uAZkpffZ5;osz@W5g$r+4F2j6 z8)Tudt0{`2zpO{!U5LLrMYj zPnP8WSTg@)|5_6bBBY}rwdq$P5O(~-r|n!g3WUoG%V8g;<1E;zR{)4S4n~v!%)h-C z6o7mr40E~`NhyX5Hr<}f%PNr+mI>*@8KZ(2hFb!K&M1fow=!uwc1ul^!ke$C_`~q?l8u#P6n> zdY1Hf=g`8Ax#6k|SV9vbBbo|{xm#3*rMT-=&~+vCm)SWru4r^?Uuas4vo%Qp$I4g7 zCbv1hZbgvgrSzv<@TsrZxR%WQPM6?xz-fivu|-$AUMy%K#`R|nYeAu}8xPreh0h6~ zOX(BoUIlIz{cDwrFQ4Ob_D;pxp|%m(+0f&uFJ|wR2VIRLPame^y}?mM!=>l0KNo~9 zhsig%rn(T<&y6j6pQHsVWlpPQk+G}2Q0Z;~-94kmzIN_!>ZC&G^u1B`wZ+q^lF*PA z!%JINd++4ULYRA34K6+ae7gB-T5D-ls!M5H|91t+C61ASed?dn^^RyyW-i;i1$>XN zn#t)3-)zs;Rju$7o_;-4qqDQn{=0s)anLN^+G72qeaw=MMq(nNo1jwsd4_su=&H!f^@2J^i%K%<=pb6KUn+ZQ` zqc0axM~@0C5pjxEe7?aW^4EQ8f)YZ~V0XjPWYs8$@y^;Y1VDHgrYN1e4?x+H^^I+l zG1Rv_#T=Ppzl;TZO1F@@<_f!{6Ey&f?#_i?GKgw`VRqG2R}o%liwrj66p1_yD$Dvh zl$P|P0Pi!dL4vw228K2^)njLI6K-B2_Hr`fVsa<%j>Fk4ZbFh{eY-Gk_`-`__g&tO z5Bahc73Kvc$GT(4omg6E$jJyXs|l{^B+Oz@8nQ1*RJ7%lG-wvqXd*ng76Zi4_QT;^ zlr1XJFHWKn9N~xK;2%{e#fbOU=X98{z^1k2?r=7HX#7)kc>$!35t^v$W8{?G7?Uo- z$w#R@3?DXe#b0T+lY*3CMDfAg+jBcaImo^Q$64rR{7Cyp5?+&VkB>coz{xPm?zfX( z9LM^wf~l}Zh=Qph5R~nTz?yIEuIT%n1g_OckLa5Aww$`@6u(dDuZFSSPv=%)6dL`U zS#|hD7_=C=Hh|jbIMZ%E1-C@Z;@!v^hs#npO zwpBLv4PT@a!`%B+H=o+fb6UsTthd!=xIHP?@{S>7nD!}qJ#<#5rdQopc*Ayts?F@EIR>h!yG0 zHer3Ph#EFS!I;KEqAU=>De6aS8Bw#vpIEPCwxbLafADt2Li`>>bt)tvbjulWsB`cZ zhibKK6kImi5nJ*nzx!=~+(8+S7IGU>OKEPb!hHu7L(i+p-WLv^$}FCxcb=D{7(2v# z_Ck?X^vrE_?oClChRNl227Zs=7T*n~hlg5KIUkCf=n7?feMs}?O=+X}eYm97{&KvK zTrK7;mFBOU;H3km+y#VT()&w-efk|x=GqU&FMIF38H-r6*21iwT@JgF+uzyazF=Q< zGP-H4GeK~7eN<1|!P%glevgv*7^f)ERK%rRnf#u)iEd3!8&}aq%vosMml_K>12T`m zi9e*vbW_28HxhD7al*N(0AL@mo7Vp$SR^N#>ntbY)pSt=JHX_x`tnyjA(Rq4^?%ih5FEj9`4}KpH(-a7J*x zEFia@CAh6OzBHhkKnK_t9*{#|04hYRhYxNG79v!ub8z)sr?fw}PhC}68?i@;n2e+;nKHXzUl-X9r!AlTxV zc|MFZ((;gZbFR`K1pAC^R9zzU;6{94VpP&Ye&t4drn03Fwp_C-yR+n*z34T*RF*X4 zm#yjrTspa9@XOxy8eJ;8FY(Lv_a0n2VfX_RXW$P)GAb$Y$^k0mcO9M0-!yb7;foxd z-EQ4E%`c2~DY10!p3Orlx|9H27ia48 zBweguJ?EBAHd=fQ?m^8pYUh_=!G)LVzs8eb(MwQ`+9}1KcKBTyqf~0h%+kq8oSy+N zD6&Q#LG;eetH+{CiOnB6(QoKm_1y2|%ymtxOXatpls zCjX9LV$E4aHlOA)jaM#cYk7hZ;^A(GvXrD`2&VWwiDyss=nZ@|^(e0K?{BQ><>mz1 z{S=$gdNpbQb+3>yuZs%`sQJedQVIv}>!|s^NOglYN%6&76I^+*UjRrhAfDPmOQR6; z=xd(OgP(R1l0DRn;LM^yJp9t(pn&D3_YibtvbS;h9X2Q>5o176ClyaZr+4cg)|uB8 zI)WTCJY9A)cPBz+upQnDXLw4Q{Na%KMxFd2%3^RG6HW{kQkn~aYgy_MyCMW@kt6xT zf@QZ$0&@}l5y2lVhhE>jz-6WTVU7;6=?TO;@gUHV1LA5 zbSa|LB;&0VcXN#O^kp?lCe(_s{v4VE{24KLTZ%XU$7oAFv&>p9Uh>`+dnS!&Auo+1 zjD?2yOor#(wzLWJj5hN|tLsepKnSx|jIEfdxRrl(t#$A9Gf>}Y?{};E5g|qgKE`uM z^~vT%jGcOvpelYI)6!0rm@7QqWId||a`+32_UuSsen<(enb$1IpK!YrVVjn!Uavu~ zZ1l5*uscQc6yO5i!p#=mYY|tqozio6DNl3EKB|^+a?h$A44mmLf8h|3$mAz$+^(?I z%KU(lp}4#`(O_1+M0->lonw<_+vBZUDGE!=n?oXV^yjewsvFHb%lz@$o9S+6h*(Y) ztREVj4Ay@^}R9h|c0tCH`_h-CO(x}nz0PqSeCM1LRrjISr4`zJ2<60Qs#cD+<=IJ~w52Tj>W%mzf|a&5Up+kRC+}O^ZX* z-q182ReYPJ1az{n4~Nt!LE};c(0X<#U# z_OQMyeSF&|DJT#HodEy^Y@t9U6gYW%+8X5vH3pEc+busqkC6Z++@XXElqmSy1hOeB z6TsJjwH;?zKba2Ni7}M=1w9Zal(K+QvrwuN)s!_DcUT{uGrsLu1$v-9DDVLagg^ll z1Wo`MiYcoc9<z-K5>2nFPzK=$Ye z<@*K1fWr81dcEFK)>r4BENr$Jd!BpLf=XZ<;Kq+AQ2!qS_dm;2&m))P3tE=gf0z{L z|D)twxb>1uV)OHWmUUDA@D`CkjyAUYE)lo<`NnBnLteW{4iVZ-y7EX4vD;1j^GOcL z+D$|YNFa|J?5Q*8E~Fdm572@7+Z3WGDp+BgD^M9p6df$Rt@d}PM+8RPJ`1XW>mmRX zZ&w7>z#g_Ca~iMm(CUAf_bc|XGXSl&Z#TL1+MES1Eh5xZp&IG|I+|HM|F;uhjkX9s z7!~WRY%$h8i{aiFo*W8hC!j6>NkF#0mS0+@1o3fPK*|I%{Vm&+Yi4|36i-MLZ)qxT zU1~{1A}Z0ag~*%9Q6hy8M@1RE1Ni$5*9Qu;dvxXduo(5Ts{Cip8qNuCHAa#h(wW+@ z!YUixIx=^+ifn#MXlB65=|N|(9+rE~l7p0bgy1e=JD5TCJ-^^CVLBK<%{@Lam*~Q8 zAY|K*Jx_1g-Wa~^ctItxcw)AH=GLLKCNh+Hy$m57Vb0jch*#{a%tihLt#JX(aet9 z*hALiqV&p?E73Mc%;TS&dRYYef zop=QLR4wlV5Qv&*soSIkE8SIiJp6-KmQY%s6EqWTt*$UHvNJzs}M61$SO1F0=IYO5Td1GAXS zJf69gvc@}1csU^3*lGByTY~W&iWxf&M~E;TfK`(#)L8ZlB6M;!%`I2*aYbm`+ptsC z223G}-_h?+ZFAd?OJNcS0dqTodEJQsfLLm(Ldeou*h%i>yNvkE>G=I3KLz)_Y79F6XH1(cBx0Y6L21&LNS;ZA7?_3S&=A|Y zL4mw0vW4S=_%D@Cyq4oyG4UZTb%Q#2b#d1F0=EF0EM#I-^}^wxX6-$+Y3)*CGmP#5 z;*yX->V@OIJpD>1V$0tZ#7X?71U6YH#7|{|1f-v$c$8pbo;AKQW7G@~GlUH0Em&Ip z`ly?!Zo$w*H&%JyJZ-%sldl?dcZ$K7`|-Yxm}`&tyqHDDmdAX6=UZm;K9c5F zpXs#91IvTq?aDey{ldORx^UeXvVSmve(UjA8#F$<5f#m^7?!qG2ZR&YTRLCu|G{K* zW4xSV+~1)mzn_}+Aak4chH%G^uXa6fmWJa*5&bjC`=+M1gQouXmkXnL4 z#4A^r2*kk#G~xZ=Z;dEYLNt;0;`ip_f9n7fKEuL3=Teb*GSgZF3APU!=hM6V5+xkg z{@v=NiC6Y*RG_G7%|dyM8IM0JI)0P4YT;YL-cxKILF+140NysWHcMh7F~fojX|%B~ zt(7nXk7H}Ju|BO8sZlEP5NOmb^SB)kjuK2?zzft*l|X>sC!YR^;bNfcM(UaH(;aFw zlK!NY?Gq@AEL4E+Qf!y1Njcoc5%;5&tzH=ApbIuhplw@p#Gu3G{+RgfM#S07dFltX z0elQL&yy{qrR+4GZ2i=aT?hDy1X^goS0+A|cBJ8et)$6$L%N++iW6CvI_^ty8!G}F zvM!D6*KxO#l4Wr{TE?%{^5THtorIq=hbyYK-||FMf7U^*;qQ4giQI$2df)$GMMu!i zG?}B5@gM5dbY}*l65Al8%j)JVin-cmIN(ANWjZxl(=ahWGlNkzPMZ60f#4C+rH)?j3bNtm1yhVq9nM1piV+gd1 zZLtApHGmAtTb`9|aMftvQIJdZkX>C5H*I2wUx7pek#(ZUFZP>>EI7VR|gW)+RD~wKBhB zvNY_pDp#6+bqeVP>|*jZ9_efVi3yr-$Mx3ky1Cp2Th4ds#44VVeoXP3Lf*v;qcb1t zo7!uS98$Z6j7yz?fd!k0BAb%7zl0NrkyxRpn3qH_X7NGFe|eI#}CLoX?hOj&37 zDkGe+yTuO+BKNG>NYI75+EXR^fv1LmbCQb*DYWn>$Alj7L+W5862bH+Gz@Q634Z-P zDHNpiC_)TQfB-3U*ZMbr0}Z^xxLlGV2)}82yGQAgFbJ)R?c>qkcbay=9)>yz(6(ry z+2Q@Xqk_afzt-dZ_$4VuXrU&?k&DV0w1-qE!V&I$T96Jcq;)9`U~LzM?wMVnd*&nP zo_Pw2)Z3ZBkCsTWVX=B)}iIS(DFo~Vf~LDt(brnve6^z zjy@NsgiUDYT~N$F{OC7zPal_C!uHN%TLkDc0f30ylvM)S-%ro@wumd}Depnq;l1xx zB(l&EE_O-bQ{pGU>eLzOw z(rp1M@2fqR%QySsX>=kqk6%?uJQqSwaQqVOPA~oiW2*XC;6X2UR2;7CCk}=4mwcsw zD#8ycOK-@L#!Z$OKnVKWk0wdB5`0;uBuew>44e>5mNHbasTM8_`y(|C=elE^6AE?@ zNElOF&#kcAALMs_D8Ak1jw+`-lH{DpRE;5~jyq>Ol5dIrP)diIIy#-Vt>*#@UrRKs zmjWMpt=-ij07W-Fboh$3dF9^&t8O8|#xb8xX_eeeF5wCe2Y5X{lEZ56Q&~!p2W$Q_ zz-sJMeTI6U_o?27C9fm=#w1vI{EfMtutC<1azI>_{{Z)bK6<*yEZQgCo zZGvrXN3L4T^*33t_km}D-9b&&uP`rsj=0Bh2;^{CFy!dMD4w*Sx7!%oJR&Wdi*`EL zq0Q3W=Uvhpje!+&eV{?|U~zYVE+9Zu^ai20#M?*a>vSz#4y8-#gsxirhCw&+y>WBE6*v zc8of}@i$c0K7{ri*bT=Z`_>P9`aK|aHcgE`dF8`ozYO@nbr2Hrv5M7St>*%;1wUwn zNjBbLV#i!#4Ge>rd#2#J-$HOedp$K0ZvKSjH#WNOTWCJ94-m`5fMz-nEPRl_cH8vl zdFwgU5Za8qavtjm_skeaBr$@`A;N7mkma^Y4^5EF8;>_z#g`-Jw!osZz}aW{l=UAB zm98YN(;lUXYp7uLYfEKsn5TtpwqKmalTt4w*?pO?6IBdGK7+We_Dd3vBx{ z@(|SyLi_B?XFOO9e-AL--A67dsp&?F&)~RCAyfXW}AKU(!-nqr?Rr z#WjeGXq!UPZ6GJCF^?Yd#1MD>sZGfwXu=sqk{u?1Pc-YcXA0CnTWu5Xya{tX;-@Pp?%-r>$xQJ&#l6YM|zDWA>TO zS0@%v5I+-7%+Rl%OPFp<2n;B^hXQ5`1A;7EBYiwZQ9l)196T}xKwCaa`Bw14W#5%L zfyW_$3a8uA_iW*^&VVJMtR9L{^46}bqYamOjCy#8^!Hba<6O70bym(x&82i|9+YDM zd~>jVKZEvaD%w8cj2uUBZMCWzU*R%n_C^`ApnGn0GEKM>eY z+a*bV)yS*$>DA@6N~SLoKrx#Bnj~$l%8Yb?y4WmBb1QuxRf$EV>{lDxBtjALaHrdG zG$uKf8h#1=yJ(K>JwY8EB{Y9-|&E2sWiVCL4twKWdUtN8#!jzAvuAeAKA`;B)jB<~&vMEL&5+1+UM zJ!ar)R1eYnSI(S?dYucnrlTMkn;-PCR^+WB!Iub47C|yrKe)r4FnODFE?}BUf@G|J zXvQj$->$w_4qi3rq2V5L#IPqftwMNyTPlJ9VN!1n&sTLj{xyfM+<2H{{t+BQN};oL zn_!1>JVF70TKV)mj-Q+iwr+!XDcQ#U6z^n{S1CWw5<9#G*ZUW(3d>yR7LCzViF*=)>} zsUDt^b9fLe%X^Y3Sh~&~1F*GEdNDXU4b=(wn&G4Cj60pUt8(extR?}VUL~PgMx$CL z{|~t>(oU7MQS`HIc4biy8+?R90NH0x%3s54?+h5d=3^0)cZ|jRrlv;aqo%F(ii5S9ge?B zfW-4iW%5zV_Z=~CBW&9)>6hoiJ;)buDczNV1>wj(91@~fzQ4%!97u;3T~lf}e)jX} zY7u`D(=~gD<|g76AhETxx!=02*krCpOgOv_Dbqanv*olr6-zTu+U%nb(L{k?TmI=$ z_gol;(CeCcTWjcXIV_`eCLL^d{=9|kaf=NK(I(gR$Fa3&@O^9jAX()7W=yt1J2;(p z>?!_0sr3Hg%cB*C0{fV`7n>-N%D1w3>A8sMj0|I+C-=>m2ZI=7d3MZNdG<%9hoLpB zTgjC*ibi>^HuFuQhvEVvL-@X4(eKaa*NT%bu%_J<^}cT*)S^G0f8!`m`g?SU_w|Zn zqoF)S+&7JV5P0Ics*}Pt9HnJvC5{oV8)n5n_^m8{|BLF$r$^c5UUL&CEH&wR&YxOz zmr-Kf#Y@LRS~nmAfZ=cAvo+KxFYnZIxt;bYF`8-edo+_J4kQ0!d8fHUgVf@)0FSOG z_HX0v?5RfKhmZ4?EYj?2<$l%H$};noL<;On<(>J~Q_#4X0{c{Xr`5s*3GXdN)ZE$c zUEnKbg?vln7^xLsJW6znL#20TrA`sRfI}c>DU9xv@0ycSUqNSg0O@tW?uTp9-m4wa zR=~3wQUm{T$UvDS%T>pCwNQt)v7%uyXaDX1y4S>Li|8xfui%?#U1t8JeFQ~G*3|U{ zg6rkwVD*N5zOHz6&8`b0{TzXxUz6>hkk5?P?{jO(`|aRRyzPZP$G6#un`B3?k>f39 zwc1IDPuT&W@hDY&8S@sLunv29mEp5yf`K~|_b8S4wkm0-iL?z}yrt+B7HRRrW@3c& zwQ4+5`!f}N2lE!PFwS4+GSDzp*uzdUO_;@YGaVGjfdZMT@ondF&=d?qB?V^_ph z-Oi#$RkJ1tO`>W*ds9UaX(?hFUTr>UK<3hlrDOW5{4}r z6>~OA&nh8swoCjQQLIDxAB5UTE%X%Ke5$XlvB*Ww5mAZU5jGJ#Ew-}*k6@+{a`v=6 z;LYGl-t=`*x9T%kVQ*;W!(gvf(G6=Xrc1V1mBG)!s&SRb*#lIOixDKZL^Yh(TCPd= z2lE;k9SK?~5R)^-uXuwpSKoL_Zhv-IJP#lyCGkqF*Y7_!Wa8-BP9*V~Vbojwp;2PX z04yAa0|Z9(&<(YZuo^kLwC>&AIkg$6>R6$E)>TefC#a}wqmZ44z8$4W8{34iDUIcnM}&MdlgP0dh+5d(&@buI&1T#so#_$bz8PU$e8V^prNZ^ z#J%Xj3FUK__x96i&}9WuR}DG%-<+J#6|&X#bPJFD642FmD-3a+W+;maLyJ2*^4 z^TwTq$qU=V-n^*$fWsBCM;_rUldqVFT8%v1Q@6t?;WZ|Xyl~|=81VsqVAVx9Lx3mQ zc2kf~;L`U1KlgrVJ@uRD*l|(CxTPy$eId-H$-br=$Mb(oUI6 zf7nOOBd--(6uXh?nng9YrV)jS!>F01NV`#gGpu+TSJw#Yqu*PUcrv`y`Pev2nETVsz4M(XZQ&l^1tdU|#NL2UlJPnzt?upP+Z3>sO3DR2dds))%}{ z*JyFOYGJS4AHeVKhvr$mKP1AK*9W)TIKl%0(c-(}N4#3+GLXrQ{qd3yW8U37(0QT> zFfXL)S)op597(CvR8|fCILGOG^H_HH6XBt94r=&0aq=r8P8hSbb6h%}WWZ-O1MARU zDRjfn&h>rKosF!Fg{N3aVESnG}dIk*|nOc|vN07K4qx zhySx&PYw?}DC+ZK&Z?=v-je{tw6FcOwdLVOm;O2BJP8m0a+E`6GK(ix63o()|+$b+;MQMG$~y`YqJ6YC^(5En!_za@S z!vyKi5Z<2&qkTjC%*B?mE&B)an;76RmE|P%qS_0uqXYU% z0j!l~X(6b^vy+ulf&U# z0oHiex*&o73U~(!RGM8TAu>>=J*Wj#r<6&7Thm%%;T;P;lT<_?q!LTbYRm|1mN(*o z>Pnn@we8^n@hLC_J$rFLd{Y=B1d@xYN%(;doy%oU+Os4LuZ8s#(19(Cj!sW!1=lYA zH}ra2=_5HvRY_+*eS$OW=Uy0|B-&iiTES;sjGM=&Gu;V^dDp$6LG|p)-25NK6Y8vi z{yXtyBzd%LpZWo^Rr>uXva>9fN%YL@mNE1tY?fK{CG3_F^z&?%P4s$f|BPfg^!v4B zopkzzWvev%*XNz-zx7y^j<_xGwVkT@OTdr0UIFlYfP~`}zd80EX7Ep_aKV6cAbAQ|dF~0|>Au zyXf)+(Isu7odz-@d$zBHv^kc3M z9HdzIl-76Q5F)eTJnL5*+9I__h2qjN0Yrp&37@K-%^yG*HmaxmT!LGS_Nb6giF0oS zd<737RvT+Ymp?*VWcNA{p*FdQ{0gLA;JUm=~ay$sc>FGP*MymL1(04f_CaVSbPUxzPF( zPPIot6|ZnWErbCSQ!7!OsjzBR;irIKBG&kceiZg5t)g^^l6Rs88HdW3N zGolRD5rhoGc?Z)iy=}yh_uny9-xO#3HdL1p)>RyO(E77gWlNh|QndArmDy*$;isDZ z^m*qyUUAGrQD8)p+FK@10~$$;3Ri0d{-0?7Cn@S3I`ax~THB45?&q0jgg%qy-iIl( zlA_B1R+}SYy~!JQrrcd+9=et~jwXGUeNpom`>yct)`03Hwd>-HIb(z4sKsby0Ev>pThZ{O%1oQ|_^HeN26wwneS( zv|{JG*ms!9fxz6PiHl+bW5WdLHnT%o$Z=k#I6*AeArGyfokA^U*?FP?jp1T!onQs2 z?VVPYV^s_7T2=U#m-BSBClMpD_`D`MUXWG7Z;TPO9l&GWSJ?+$iD7dd~qTBLw1%!n- z>+JPp0GA7~SIWFgolKRYkZkq(+ouu;6YD_nBPO_1&Ja z``$HNaHsv3eI)?5Dzkf@7p9tBtgE#7FGi1>5*uA`k1!v&;3Zz^#A8n7;X#R;Vi zpn=M>owB1hC%#P$=ty?iY0o3e&a-fcGwlO2$mb4vXmr~9Xt(oh6jJ@(A9HFY;1qdY z%VyZILwA8Lc2uFa^*;*LTC?aIL7NbwrhYvg zL?g{1eNHL<-%vS?EgcPI^yVy>D!_%wKLw*AxSc9_x#tl+gzB^oW3Zx46OjCiJ`0Oz z>PuM^vy3bs85?Ls179^FhS6aOzw!`}n^2ZOd*C=bTp!j#vmRzwLhxZ%>_Ctofk|3xFoIlbRxa(fk;)o8g2%X8!D+OlBvfCL7A(J8{#$A>oI zr9_yVwx?B84YNPT)K(O=`W3(|5HcYSdRxN?U5&GK45?`2KRZ ze>!k(Y(bxhBy%um)sVX%*-Xn3yoxbqQ`t21DzT^H!ugu=B(JbQ=?~f`361VA2KyfqrA;j72i>#6E8SlrFNE^G zaS9xI3SkRYJPl7EUbKL!h<|A9_FO}3wm(;%wytz9%yE}Ym zZBdog>Xjb$YhP%D6XS;S@aQxtouS976OGi>F{^OgT<~>%P>U`j_2sVbsSz+4`SxAlECeOrvM=KhqWP(nF4Fklk33={!lt z$Pcx!-!V*TYuOnD8R**;T%uhSz13d#U`LxRsg8y=WT_X95dE24cceB-?GteW=ZxeB zbkCX~Zh8Z;M%c23XC#xb3T{;%c^QAO83~>@tV#)cHbycMDXdzzQVEd=A65{=-D#Na zSb!FcgNBw&Rhf>$#yIJS&?`_lXN;JuUZ9UWi}-~Xdp1;3I+AC?xVmXsAlSiJ9o}Nv zwrNU|5Zx2NCMcj^eM4?19E^jG*8WdV9-A*zGL%vHk5N?yiji`GJ`6p}-OSDk+E?wV}7gbp>=L~afLP5i*^bbwSEpMQJWmJ{gSWt4XPc9BM7#PC#EtoTly8y^frRaTJenN`!YBtO!@2O%6@ zv_pDqzGz7q37Fztc^dg8n$SC z2qhW6xG|c_9czYgWqmj-kUoaN=YrS7yVrbx2b~tnSX7hoD^z?2s3EDyCILn_ zK0pv&a8Nq|L|O(39UlwK-U%hA2SjOfn=V~X5f0Iub&15mwZxsq8X+n*&?oeohA~+P7}(a z(F%}n(JmzZ2B+|IwX;OkRmyf;0ke1nB<>ui;Pa!i1Ss4@wa($R0DXSz#td5^?X%T0 zuFy|=I-N3N^0qJx_#56b4O_>kPjq>>ZODFU5iCqdDwO15U9|zimX0vaus|M|URSMM zR880-jc4V0EBQZ5X~;XzN^@mwO|_`>(62kf@-m(b{p=vGOFTgcZzE^sSY<%>cqsxl zPZAy#BtlC&`2HWO`e8bjMjhZm)!?cg84~z20<|?Bb z#P24jpZv0=@#`#~@=5z4YwH@!2v7 zQhoj++s8Hd>YdZR`mah`VjDMP#A_SJ{2XmPK)_y)aF4&i9ya``Sf=qq+B%&J;op-D zQ@F{RZ z?AK){E;L#A6m>(a_);A1)IRrC=FDv7azlQDYIf$v>I^#T_r6=CU~f#l8%O~$h`H51 z`*Cpof(2>mcFg|wObKD|r|g0|#TNTv9^zw=u%*AzQ8RSzc!sm@_Jjh_zrMKp;QJQ_ zSr5LAnRpcQQ^2Bi9_QP2~LU?wHiK%PyrtseSC~UA^mh&)c;FlNC;FH;9l+EOxg0Ib5U8A zNi%DIKE-&!`B^QAix2xsbA=n!36jJmfN|1XsRvEy7NDYemb#q9N(~BQG8AagprqK~ zS4jTvh^+>VNIxuwU3!4%*S{DIH`y{Uy^hKMZSG&Qk;x+xlE9uXaR){H|59&Z!hP`_ zK3|(o>OUUgbf5Ng4yV2z*XuO29=ANyT(TGD z%j>|klGu!PZZpf^#Nh88C0Iy((={U@Vm=US2t}s*d$6N9eWwVbVK~mGeP9LUGe5Zf z_Fo!`ymQu3Nyz5$6Q^OyPr!dhno`Gq=9>cEPj)rM?DNFzql5D4AM8Oi{4yY}I+uNUruQ$7R%xKp?HXyx%dRqjXi7&k20iy50jqRBIx!(CB`ST z6B(PdW`+NRB(GKgG^O-HN>|1J8Xl1fMr_IoG9O*tmohTP9LpWNts?lyJX&l5zIWv| zIaq%DPoD;^(lR@didm)VnORF}_`u~Q*&1v2_!#G^yy;2r9FwM}QX>?sZwYbz()e8P z|DjC{*?amW!=9ZG-(mWtiMnJJrx)Rk*|X>gNNT2<*CziBO#ul;iK|@kjJC@Fy1Kok zb9Dl#K$8iXbj)@vDnC&av*^Ke0`;6)_g;Ty(@|#`_fv~T!YP!rD?3JOtx{%Cqs0MN z4V`~?^^C6rCU`I&X?IR|14-O}%wM#X-}hGX9RkO@qTkz@R^ip+{R>JMZfRrb9}Dx% zKE-0wj!H*!OL-G?qn^WjW8VbLC=ztHetEve7@~j3(vY4O3@^Q?J#@E4dA@pKwtkN= z-!*x@CSjKThOkfD3|Yp<@BWcVQ(irGrrj?Orc1A@!+@>$Q%Q~4yU+z4Hx7FR=9W8AXR>vv-4~2U(%W?g4_kwX3TEKMGm>0=q6>e_f2KHQnG=U^;>Um2 zD76NArgTG3!nL@*xn5@GXTtlCzzwfizvrDg^F3_1+RzCKG$@t(V)p1Gdyix77RrcJ z#{>n(`zK)+h2a$jI;ciH>!e>_w`1XD4Tc{OtDl1UV)?^)4US*zrwUXCY3N0gFGCLy z)n3`x{<7KAzbwIiy_70fz>=Ef@Y+M0=-7n+l}%^*VROI2K?yIt2#I>wlw$(TZ^-at z^ROa-jX8mNl3RC5<$~=?h z2EKZ#s|hmxc_`jxg0gO)JPX>0_+N}|oOO&M9peZE7w={a!9@8n`2ATU7EOEV52!^h zBJ$Dy{2D2La@G_Rq;40>)fLm3`QmCut7!zcGvQs}EWHEG0^)G|P#rPw1@WzKAC+2V$ZRA_{mDUybS95+Va>Bid3Wq=>}_sPrAQ}sO`*N& ztFb-Ssezo9X|eoTfa#@OIa7z{F9NTT!s0Z~d3+|N-6<{Qha-9>woA-0b6$EYxeBKv z8=EbI+B!KFKx;JV9?|?9hFg6!=_%2?YdFk-o;hq4eO(u0Y5<^u)k@fDfsx3E&rj#m zE2u*^s?yI`PGC(Zpy!Utohrq{DNQzH#IDIh@(at>IfZ3ZmZfkRS!p&iRs*G_S!p&p zR$~zCtu&h$s}a$LnF^yfpB<(;UuM@t?ea`_oX0}c{n5`NfAZNe0v9=?pWQWF+T_cBIG1qPXL=LIh$6k0k7Tfd-*sZ?U4PIy zwLqAyPu32Tn$gQ{_hVSUk_no2F4Ci=ZJFAF#>{syHJKdxcDQCDESVg}ceolNGYFb4 z#>`cU$;;>0ly?+>yzwPXfC{NFqwiBu3*sb z5>tuq#DB7czMoW)1-dbINxG?I@HqBf9YkxBcpHJ)G&CgUn`yi3X95FIb#N;w`M|e9 zFLTe4H-~0IW!7fd>N#Cf$n|z^hNb@wejB_;C8dV8yq+BdhoYEht~K~z%shQj>NgU( z8$<+}iurbT)8#_CC5RlXO0gAWUW2vGlPNGMM2?kK?MRPax_{z&tR-V2e8g}54g*`s zU}9wL-kz)j6~<;p(*-Qv_e&uUL^o@*RmK#K#J9`vtBGTYBpZTLY=9TTau?KGD#h!SEIG(uOo?@>bMcMVyHp*Gj#xmJI5{WLNSq%$3G4mp1 zS%)!kW_h-(8R)iht|g(5LP<-kd&32nv)|aU`^*-3MLy{vxelLhZo1~7k#Jzqfr=$A zHaB4=aCh(`yy z73@i$<*xfTYyZ&8ae6sCv+d1krwTTAaO3w^Ci^-X^3TgIukhqoBPt6ir&pl^YPDJG zjXfLix-u2OYbxQQ?_D#Pyl?MM(fu4R@t=ILuLrAkN}bu*Un+O(rfr7V&p;HceqN#wzp+2~vZbmgYWf z_wtU^BF{*-P*aJF-S8M50n1^UiFYkru7-iQ(WXE{{)douL)4iM}ZY%Xk6TzgOUD%acs%Tkv9F$&eUxM8UpWLQIpD|Ef4ofJ(v&yZ6sGLzLzh|J6QAIzI=> zsRqrGCp$B*i>=09uBm8aqSIClSn z<#}4AE?BM&aob%!uIiKBZAJip2XpbQ?vb68M7&mF-=g)2O}n0Q_fl97%Tt{2yhYlC z+K07_xpvusami0^v2PbrMAp6X2`AS+VBfYzJhDdsLHK6zn|WWrd!#2B_)w;ZN3A|n z3owxMBrl@9Ws}+td`s~F`2scJ(Z{}Zi~#<`U#F9KY1c=}Ve^0Vd1tmg2Ts{|owg$66^M#K6fsd4Ga^sRQ`&5na+Y{C(DO z=F%h$4=zA!y1pd<>pAmR>OxYv%!VYR+`$;$L7@__1GWaX@;?jREWOrAOs%QRhl;b7%*x@dr$vZ&W-SfAp~K z^v*u?*Rjm3V|Xii?oTr%{v<1&88`G@f|})IT7E5vX~hh|rN1+$y<;-Od`U+OwATxf zr`w7l0~+dy*g17Cj`6Pk8U5EZD`f>7HcPCe;uB~%a`dPRm0NpaPU+&T^dijmxf!LT zL@&w~d@iHC#J&_$ueE}Xi ztP#}yuYV>>0E5yTRTGU_1mVg+YcF})}=$fPAG-oJqh+(GCB5anan`5 znl{{ytk?fz2R1HaN@t{2XB)Wz#=G9tTq?O?zb+KyBR#Z$z+J9`GNpMr`N$pU?arO} z%nXse1<^!{ey4Esv@5i-SLj!rPl?i;Idf+t;cj^fjd199)6bzoey5-`4+;pWYHr zN_?IDq1L3tppjii%Eo@IsRC?q$T=K-GycK&5>vEVjr*?Dhwo^aT)$Mg z&tCQ)CQmgXIo&opmpqd5)Q2T6l6PUJNoXWeex!`otGmEG zB1iifSyMb|-&@-R)0=$Qz)uW#$vQN_+fTidps)*#TPf^s$etjb-oKKZ&o6lCRH>v% zp#Qd{@kmGS%eQrTbaW~keIpTalVJV8CzdsLEV+cyT0jdkqfU_Lwfv9+!$85_H~xTv zY91OwHI$ve|=kmU-B^yPAIURiX+-1 zH#-+xB|d{ATTeQqN_SsXaWJIGvU_snXEaOUK&kMa%}8YcZ|+ zPWV^IbmIV&QuO{K7n~GK)U`3TUH!^h=)v%99c&`Hf?3Z5(IpkSB!&u+9|Lk`I)DVP z)?RpQ+U;C!MHZ?oGwobvq@>isRYP^jJ6a;7DXiA|JlxO09ltTMj1TTW;SzQehZc=u1S*#AHE}yRL z-1yjRKMa^f3+1v}RsrISf8#S8M14ahytYaa(NNl!WKCHLov1SUH`qTbExxmlvEveP zA@gx|zer%uZQa-Vk^0^Q5TOAPwp=F|?ZuaA{FJC08)aZWT2rN-?FF324 zF1|({E#;113}%(kShl|RaLoFxlR$9!QlaHYUc1Vb8oEfyeha8h1sV%|pYRqr2-S2?3@dK&`~@Kr?pF0O5se5rve+e@*nlpTM( zP-snzsI=mpTGR2+OZ)6y9NQem(xtCJ<+~%nZBsu;a*Bx$*%6hJ_6@~$=ZNdN6J?!s zSQJ|y$Cpy1B?JkP?nOYl6p&t)Mp9tuS~`}JM&L?Iqaf0aEU=V_gvb&C2CSfqm+sEx zU9Nh4<<2~3o|$LP=lst3&Ybhd#QA=TvY((khD+gQwttpfTVieI4fm<|@UZ{|bA-i@ zbBXbJqtOcbSk|ck^MXU-&+Y!Uwi9zUAkz=^J+rc2jz-f_D zCtAG@S?05l$zWRA>U(1ZQo3SXtvc73KPIy0x&EEd!xCzD3Nq8l zOYNq}K~%mhOg%ifHfkWbd;P0ff~zM>;L1A6<52sxId_bX_LUX zCv!fD0I63vKoL0VTx6kHabL{dgYIvor^9&`Gu!kt-C=t(o_gM;XgTy(ZZZUX4#HZ4Jc z13@eC)y0~;rbr3{r}?@jfO*UC$F#}sM>QJD-7-CHrqAX##SOH*0)lryG(5p_7%<4x zf;m7B!pE|5okTsDaH5UzE|=owQ^uytUR?Ku4pD0T;DkE29zXUE?U=`dmF9%EnmSww z2Au+!_}P0$Yht}obkep$Gr+{W1l`8wPt7jj(d=mMe{olNF%zHnih-V%l!n)@zOL!%2seRKpt2fd%OHeFvhF8%- z$O2=cZXZFl16qXAA%qEi1F5l60wXN8o>6+$%ec8xKs6VLSe}(I=vt|p^o#qg!OE^} zMbp{k;LLR7iN@EfTAXt_m-oW!fKCfs{`>3$cTUqZ!JNL#a#_V?5(Ed~nc;vZ!uvufX z4QIVoes2QH)A^~dqvD%3AyqSGi%i>#=%5no%XWt3Pjd0vNLG#pM9D4YZyCWbi2=O4 zPLj*k#MGq3p>ZC)XSzJ^Pdw6KH(ml8DMG0!gKzJ(JGRL!`J2}rrC82(4PYl|K6ze} zRXsR7S0>RO$45T-F6etz?exlMh4sl;1FaQ+DfVQaz+Q$#`imy#bD$e@xa4TB*cif+ zy1nW0l^f#qH_>RO0UvYO!rlJG_*Dha*0)W)K|47PLrdy#TP;~0H6rYPxRPOEZ13rV z#ja1kX|=NoxiZL`B9XZAy%lX0a>&n1B$`q*3;b4f`x=^HAu{_x`Bnao+OxY#twnlB zLjEr(A> zLmX(aFQ-)Qn?!v!<873}N3OG2CCtS~Zw5Y88SZS#gh@aExo&QbaVha02~qPVQ?rOH_XyH-Y59duCie ziVC7%QIYYTw(Kw58ExF_c0F}&erHp^ocg^$u#22Nkau^@>-AEVUmB&z>+xh$c4bAw zYOs1t$9hQRln#gY(|CQTaS)7WgYq8z!2X+25UaRu)?U%`liK(~t}P%UGXE}T-SkH$ z@22W%|K~$aZxlp9DRchXX%oxgwabO<#wypv=uc=Yn5Q36P26=zfplLXWzi%gCxc&) z)Xhlf=X@Jg9_?;(_&HoXML9*8LTS&KVuE3`Ncw8FPIZ$5ZhiNt?&&U)XxyV!PA#tuC=kM+EcI*&a8(D zY*jm+Cd^V+@&c8Nwb~QT6UHEAJiC`9xjntZc88t?t9#5ReKj@MOF<2>Y&P+B|=L1J=hX60IyEo7q;(`bG6L20J=w!qJ0JgA+_!r>i?PCq}wM_(T;0aOmtP>wI zP3u^#!ilOvwa{fvx=Q$0v)qcm6nwT(tDg)BOns^9EXcBLH@%J=7qxKd^s9Ho<0Ri7 z%bD7=iS`?{_NAFCzW&YFnSbnIUy5ui)bM#dcRZrknePT_*D7(S{H{m{`^$wYVr`Uz zC-ocpN)9+Zy-eUi`xSv>2a*p+FPE^#F2+1ml`0e7D#AeQkz_27m>c>w?H?nw&p4j$u3O6s zdK7CVR&&?u#4x-=)BY)<`^}nV9;|@QSn0|B6w$%PB|WK29jjwBR!1@~{aOv97ZZE> zORP}}FXH@(-*Ung$86L%CxZi14NT$uR6M1!i@7@1F@`pAAkp&MuW~?gvCb6|%vGM};dEpF~j;&g=$y z?nE*KID5MrmRjGn@#9KGH61LVnYFE%+?5k?B}_3{vi77Im5-#AJzgj_NYP7M(99w} zU1iJ*ztK-XbFaYA0Z{?j#@@;}SOI?<)v|~sm&IUZrN#eYREt#>fIS4j!_N0?Anrha zSC@;>PK+W)u{i)B{ovg1_V)r0tHiSZc-`9C*j=Qa#L~(4Vlzu(^IZ7}{RricE$1J~ z(GB8x5u0sr<~i>@Wq7}X{lIK3e`20CRu?J$cM8A=P5=NH4ge7St|7KvC00KvF9$1k z+l$-*$t*`Bws=#Ti_vsre{z2RZCV!?M&pC6Uk%?yN+9kh<5enVUU7qFmC z^{*i3RfUK3|Mf3r`yU-IdJF$*9XyP)75A6Z@Woi?nf`y!`VHX<;{A6ByNF*L!p`v@ s7eV9~{$oJ92wv>Azd`?7KjZ!Yv7K03gW%Hnc@pfJi>)kD2rDVzf9wxsaR2}S literal 0 HcmV?d00001 diff --git a/end_game/end_game.runs/impl_1/main_power_routed.rpt b/end_game/end_game.runs/impl_1/main_power_routed.rpt new file mode 100644 index 0000000..b0a1c98 --- /dev/null +++ b/end_game/end_game.runs/impl_1/main_power_routed.rpt @@ -0,0 +1,148 @@ +Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +---------------------------------------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2017.1 (win64) Build 1846317 Fri Apr 14 18:55:03 MDT 2017 +| Date : Sat Nov 23 00:06:33 2019 +| Host : DESKTOP-I2AH2G3 running 64-bit major release (build 9200) +| Command : report_power -file main_power_routed.rpt -pb main_power_summary_routed.pb -rpx main_power_routed.rpx +| Design : main +| Device : xc7a35tcpg236-1 +| Design State : routed +| Grade : commercial +| Process : typical +| Characterization : Production +---------------------------------------------------------------------------------------------------------------------------------- + +Power Report + +Table of Contents +----------------- +1. Summary +1.1 On-Chip Components +1.2 Power Supply Summary +1.3 Confidence Level +2. Settings +2.1 Environment +2.2 Clock Constraints +3. Detailed Reports +3.1 By Hierarchy + +1. Summary +---------- + ++--------------------------+-------+ +| Total On-Chip Power (W) | 6.965 | +| Dynamic (W) | 6.861 | +| Device Static (W) | 0.104 | +| Effective TJA (C/W) | 5.0 | +| Max Ambient (C) | 50.2 | +| Junction Temperature (C) | 59.8 | +| Confidence Level | Low | +| Setting File | --- | +| Simulation Activity File | --- | +| Design Nets Matched | NA | ++--------------------------+-------+ + + +1.1 On-Chip Components +---------------------- + ++----------------+-----------+----------+-----------+-----------------+ +| On-Chip | Power (W) | Used | Available | Utilization (%) | ++----------------+-----------+----------+-----------+-----------------+ +| Slice Logic | 0.346 | 355 | --- | --- | +| LUT as Logic | 0.300 | 163 | 20800 | 0.78 | +| Register | 0.023 | 124 | 41600 | 0.30 | +| CARRY4 | 0.017 | 10 | 8150 | 0.12 | +| BUFG | 0.006 | 2 | 32 | 6.25 | +| Others | 0.000 | 9 | --- | --- | +| Signals | 0.344 | 296 | --- | --- | +| I/O | 6.172 | 30 | 106 | 28.30 | +| Static Power | 0.104 | | | | +| Total | 6.965 | | | | ++----------------+-----------+----------+-----------+-----------------+ + + +1.2 Power Supply Summary +------------------------ + ++-----------+-------------+-----------+-------------+------------+ +| Source | Voltage (V) | Total (A) | Dynamic (A) | Static (A) | ++-----------+-------------+-----------+-------------+------------+ +| Vccint | 1.000 | 0.734 | 0.698 | 0.036 | +| Vccaux | 1.800 | 0.241 | 0.226 | 0.015 | +| Vcco33 | 3.300 | 1.746 | 1.745 | 0.001 | +| Vcco25 | 2.500 | 0.000 | 0.000 | 0.000 | +| Vcco18 | 1.800 | 0.000 | 0.000 | 0.000 | +| Vcco15 | 1.500 | 0.000 | 0.000 | 0.000 | +| Vcco135 | 1.350 | 0.000 | 0.000 | 0.000 | +| Vcco12 | 1.200 | 0.000 | 0.000 | 0.000 | +| Vccaux_io | 1.800 | 0.000 | 0.000 | 0.000 | +| Vccbram | 1.000 | 0.001 | 0.000 | 0.001 | +| MGTAVcc | 1.000 | 0.000 | 0.000 | 0.000 | +| MGTAVtt | 1.200 | 0.000 | 0.000 | 0.000 | +| Vccadc | 1.800 | 0.020 | 0.000 | 0.020 | ++-----------+-------------+-----------+-------------+------------+ + + +1.3 Confidence Level +-------------------- + ++-----------------------------+------------+--------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+ +| User Input Data | Confidence | Details | Action | ++-----------------------------+------------+--------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+ +| Design implementation state | High | Design is routed | | +| Clock nodes activity | Low | User specified less than 75% of clocks | Provide missing clock activity with a constraint file, simulation results or by editing the "By Clock Domain" view | +| I/O nodes activity | Low | More than 75% of inputs are missing user specification | Provide missing input activity with simulation results or by editing the "By Resource Type -> I/Os" view | +| Internal nodes activity | Medium | User specified less than 25% of internal nodes | Provide missing internal nodes activity with simulation results or by editing the "By Resource Type" views | +| Device models | High | Device models are Production | | +| | | | | +| Overall confidence level | Low | | | ++-----------------------------+------------+--------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------+ + + +2. Settings +----------- + +2.1 Environment +--------------- + ++-----------------------+--------------------------+ +| Ambient Temp (C) | 25.0 | +| ThetaJA (C/W) | 5.0 | +| Airflow (LFM) | 250 | +| Heat Sink | medium (Medium Profile) | +| ThetaSA (C/W) | 4.6 | +| Board Selection | medium (10"x10") | +| # of Board Layers | 12to15 (12 to 15 Layers) | +| Board Temperature (C) | 25.0 | ++-----------------------+--------------------------+ + + +2.2 Clock Constraints +--------------------- + ++-------+--------+-----------------+ +| Clock | Domain | Constraint (ns) | ++-------+--------+-----------------+ + + +3. Detailed Reports +------------------- + +3.1 By Hierarchy +---------------- + ++--------------+-----------+ +| Name | Power (W) | ++--------------+-----------+ +| main | 6.861 | +| clkDiv0 | 0.296 | +| dut0 | 0.247 | +| alu0 | <0.001 | +| cntrl0 | 0.047 | +| pc0 | 0.200 | +| reg0 | <0.001 | +| seven_seg0 | 0.119 | ++--------------+-----------+ + + diff --git a/end_game/end_game.runs/impl_1/main_power_routed.rpx b/end_game/end_game.runs/impl_1/main_power_routed.rpx new file mode 100644 index 0000000000000000000000000000000000000000..7144cf9170fffa3e27a4816e969e1cd6035a8ba1 GIT binary patch literal 101030 zcmeHwdw?8OmG|`IHB(7U(`+OJ15Gdzz;wE*y1J`+@_q@L7kTjrVHhTzWH2)mCo@QV zEJ2YK6hXjc!3Pi71^vLczz2f5ySTEet{^HdE9|nn?)v)Fb$y`j^8L=OM^{(Xy>+L% zF8dE+l9|rrcg{WcoO91P_i=(&;aS%rZDisn_jnLHSpaZ7D~ha;qh?^uH6w1>>YGj*1r4EWg#x;+klIUyDm9J zn?5!=G2Ykgd1C6o6fX)@4rJtX%eQ5v4z(M+?tC-VfYE#Zyx+Q-J zzBy^(`@6Lr+MLShlU0R4lX=V(_n1I zl5%Z{jvr|bYyQ+r^-_7sL&J}+w%$1J_EmPzs-D7oaH7GtBXC0d&)f*^&IFu)O%Ua(Ol2Fb3NDR zdgh;@<<2SOcFpfTv|(Xx_32Z#92f={M^~~LT>s}!9oW8!zTHW`o&N3jOxNBq8!n`4 z{y*fj8G{GkxNhjua>4&%;W0hW%_z*8-TkJUSLEH9UYPI6;gg>}cKnL`*4!)L`EF8&RrsMU{}cAl=#y#q z&#a=s$62I-v7ZS z4t?pf{fo1|Qfn1IQ_4QDbkJv;{me=DTZAAce5j2Uvm00RX z@UNm036q}+=%cIrp_z@h2QzXE9Kh_^_NF;2@}DIp&9(TcZhUlfU)PHK-Sp9^Yk?kD z@Klum&@=%3*V2Dno`0Hv&L!;W_~>sQ`p)wFwe-^9DXS)7Pou zp&R@1bMeVNld_GQ|BzdrKN0`z=LAiH=>OKEcAf^rd`Lvie6DZ5_L)__O1W}>`bVE! zk-vv5@g|Z@)AM>BDrr_pruY8lQ!DcSf`51P(>U^xY-pM*hs^`S4Q;0T zwJWG&T>%ug4U9uDo5shM9zIR$T{kd3z&_HB@t{JY%M*>_x}n2?F!PL)mQTEYwsCY| zZ*lRV4X0_En?V#8pW}XwV3&P8I|zK)x5wby(c+eoC4&cs4i(u)f3*HGgm;L~ibQy5 zWPlVv+s@Hp9ntL0&%{?y*B_%z%OYWZHYAeD%OZ)21To6XzNFP~5TU#*l2(x*K6zOrts?0-I$?fkDy?3H zPyCTMII-IcPG%vwDVrs|YL!i=!)y=MP$eMCw5lb; zvdyyIsep{KOl20ljwJD%S-+NAi zfDr4c5>VA~oRV%^R;AXdfQ+gGVKmb&d}Z3YUQVvDwJD&#{r7Js2ndm%DgoJL!zr27 zvRx^6Dj>6L*CHBJF>AV$Y0<8B_s$Yh-EpFNj&<~R}@(noOCNmJbB z%JrEO|2&WhLPAwC3AV>F&=dJ0ZksY;d84N%hyvBg#K7UPN+x>J;(T3U>qeG(a_>Xu z*L>SS;HOR|re*1Rr@1uQ39_@6sE>V=X;5?hJ@*ANL9C}rCO9gH0HkcI^08KydeS&! zBJd{&?^Ma8Ogr!tm1W>hjJTc{$;)V){-p7ze;eot0y|C=w1m5^Kgl*B z`0Z`q5A>wt5N_p_4>|Q|Y+*0FD;nv{Tw>^zTE&K|MU{^QI?-bvJ=<{9f*t*E4}e4t ze9Y1ft9ih~)3}&#;cPClpF@O{ylOR3T*|@s0#)lt{yVnsfHU%a^(i=jTX@gQa_Gek zg~r&}#G&!RRoP2pCi22)SQAWN+@&Na0BXl6KbMVC-22$wFb|YP8{__AwS+%|gKW(s zZS+$()JDhJ=ulgZeeHfipScI#=+EYV_`L9wDLBvf?^CqIvt-j370(>ne?Xh=ovxeZ z9`5(g+Oe}}n#RuhvqN)*K@Ij-t2iapgpfOFy@rMAE3M{Q4rdBbL0dO5JbXl(vSCAU zUT8DBICXKi)t9@ruYPjqkn_?*gZ-BbAEGibYQ+6R{d)gMf4MMBL{JAIBGn3rSY@Qf zE|F@8$P5sniw7}6dhc<4^;5%yHf-P2KRVJlFgo6Uczj@g13TyexhGZB?{x9V@*%Brdh9e=?=7uCW>Ir?Ym2i#4r^Y#tbPjVYDKe7A0CIV76`5ow>g z&Z#d9bB+Uu<74gxjF60=7J-Ve3HKDDaAhwJbiUY=(RA+@%!CsfOQLM1V9MY6Cl9Ag zxxuEqlQ|$du{ppA%$9|Mbcm^ttEdKd76@(mYoDsukK@cjVQY)N^g?wQFcvc`X?zPH z;H&EQI`w=Qc>V73p2CGVv{Bw>Y#O68arGujrBjdYu zSH1hoOuG9*o-KSlk`7&pCDDcd$yky*ix-9HG`(-SSQwR4!eYT0e7Z~1@0AAvTfLY| zL1G+>A{5xoBt{10Fc0qkVZKe?n_tl=I;Nq&1T>62@Drp}3ddo3PE z)9oJ4Zavlg&g0Q=?NCUAEuX10KJ>-2cr*-m9Ov@K zF|%56D%i}lnR_wdXiV%`L?l&ue&(|JvEFsDrTDXdbYdJ5stUR1IN1pHu3t>&ac{cZ zU&!Zvmg9Ic?O;XjMeyOSrx6-GU+7*|KQ7>(xj}b|`w>$N@bPC>F$Jj;F#COgPgbOg zI|LC46LLx=QJR|Lk+576djzAfP^&39Ix79xk9F)4_KhH?h>(7>|95@$<3i>TS}shX zkizgiddVW8C8ED7gx)kZolS*N*v%h-thL?$%f9*_wa&9=0wN^}c32z>5oHy(uCXan ztwiMW%;KLyKH+tOtw5fu5HVk|v5i^6{w5ApFeOF!S9Pip{HcHcuQBF5^${^2Y@nGA zCfBe_W!rWtQ479!%fBQs@A)7R^Qe8oK{&QqLBQH;S4uk0(&*9Yy=mz`fq5nz-0WM* zB*PTbD4frq)|vkPan*)k>}ts|AR{Xxn47NqdJNlh4I*rB>lLC$G10b46{HR2S_J!q ztNt#A?P-iiC;&38l3A|ch>1U=rW0lS{a^fYY(_n66*z<*6H{2BlL0Kk&{02&F#XhG z;GPr+o7GeLw;;V>>lTs%ws?t1pafN^nucFdv-GI4pT#9X$(T>#eD{KO;wsFGu_I%l zTWR$IEh&^A_2bv;7{1UO=vAizpXpR>+`H+eGa9TeM!qO|zR^M!j8v1`Z# zyzBAF;x;X#f@-T#sbN}s@g?$1$B5eLovZJOs${u;aA0igh*03BUWSw__=9%(+#Da1 z5aIp5UvqO5+)JiK%Li5rSY|aiUu8LV1ozy}zmKEuWU9V0iuBz*#Z&Qj=?p!pD?j=i zj|90$ITAI!YQP7(c>&I4L`Ly#E(vmGawIC1Do!8zBx0lZhkH;(NpuXfkoY77kpg^A z1?Nm~l#6m#6e+MyMTW8Mqu2D+=LgOwTBdP?dQ_o>4_g9QPH-s0)g#A@I-g5kKyfs( zjC&4$Kx_ntQNwu~8%1O~(f}hUo2Vy8{qjv`T!6)}B~0*)fWkqM-#2s{$#}V1b!g`y z2n{15`saCDWBhw7tsoL_=99r!5!`oucw=Avm4WDo`d!O~w>PRHwr65hMf~TKaS+Lm z|0+h*%09OB%)a{E7OCtu*;;58I%0eL>D4^8YlZXpo)~PKq=e-fDj#0hYFbgteerWn zqQkU=KF2^9Q`J$yb7c+DL}dNoE}LUYR37wANu(>fZNSM_a6%Vb$F8m;FpKKq#MDwu zS8Px~_9+m$W*}#PueWTPPzb4Pm}WFg7&~KOqGZI8wvJrEDH%4FR9Z)v7qIOp+h4i; z*cjW6%l5@u_l6CLYm{ta{)KUXC+1WAZZ!t$ERTj{M!4$t$3*$}u|>f)YR|Zu3@=tI zhilIf-6`A*@Rm%0yyV32AvUdh`5MnFphxi6=7GO}`2qJuka)4YaDj*lo%q7(N}OZI z0cPG5A9KsJm_Kk+HC+_v%*1hGw%i)D5oseIq5a>ev$^7#F33M{Wh`ItlATLLoF~`* zBl7w33oq2`Ej2?@)7@;P4$VPKmAA? z-SDy>p>m>lfODJGTCM6l(ND0>S{P+!qfCKpNXRz zURaZcju79%X?Fqo!1of+y-YkE-NH$FI!DFh{g>ZOK=-UP9lc^#kOcD4uT4UCcM(%( zHC@#&OG1~N)%MU$ucjrGjE|AtB4o)gAQok`t4bisIukX+d+QMR#*o?Nh}xaU-<-S(Zc)k9r0Jmi~&a+oRf;rQ_lx5*)b+7G|YBj6kM5lJg#1|u*tSmtAOe$@9ar729 z@^G(!Vl?BQ6<97I}uX#)N)MZxXk52fugbZ z9VcARQyWL5lypFec1$c27a;jT!wY|SUuzu&;q@)srd!6gygBt&4oC+ zA)}aX%9#@7pOAzuoi3*(v~v>B&GhUlJzo37l0U8s>h(2|1IEs;jx8&!ueG2^fgR6? zQT_eIfkdOil^nq-on9TU^WknB-GF9$4P_iph#=d)SXWQp&mg(GMOfNk=6VI_3o2bW zF-NydJg*SnPrdih(!>Gao|RxzX(n<)!#{k=-3vJLnN#b@wM>6&>>|GLYPj?1pP}Va zxaISw@F;lNDV3~n@$W00h=5;ka)Zi&{2B1?_X>|EocQGNiR%^O13?Hv$4t^s=b)O* zk&hqch}bu9&k1*A4a5h&0+q|rWa8V;Oi5HATwWAmOKm27c}6Y~8=?^$PfPa;NAG{y zsTac@-%sW6=bOjycq*$_3;4&5JAz`Pj1p!L=Qw86JKX+{PdG_St}QgKbpC(7;z7sr z4PFu4=bz<@p6ZL($Hq(zr3Kq`0`_sVHsXT5`|M{E*>{BEPdwxuLH@z_aNt+G>$8YTsmF{C&>1?Y+(6_%^RTC1f9` zh-hs=Wstw5ulnu>li7#*i`d8GH6=P&Cu0AGeqbl&CcC|mM8v*fTXv~xBjEH`mV}V- z=byYenSJC|djwM}warBDwkK~h!4ia;18;__3@EVCn?<~6YVOS+Nah`hl~6F2gTjSV z20R~p#??0^gCi0bgIB7E@{z;}q&}MCfFmLigQJcKcShI#xndc87L;(!uc#kwwSEczS;JTRGrxF)5s5TLY&fsm#a&U-=deI2=GKc(r2T zFee}U^G7(~sTNB6cUBE0d_MS_c;KlPO5iv^$BOIj@qt*%u$c#*YN1pVg?&~9s#xWL zr&?$y;OQ2cz8P}{kMnd3?EpO8LfZ$&R#Lj2r{%^xu^>Mdr3Z5N4^W}xL;);LN--s= zU9kULaZTcqft@g%>f~NANxb+K2_o&IJDvLcP;wSJw6D`?w)41A#!RUL(hrO-NBkRX z_fTx@r%eKAsdL>QKY39iG(;{a#)U6rQsDp*Dw6w%SPq!;BB4<@DY%F)6RGwqb&-=NZhBKtXUEJrFlP4Ld{cvy(Q$brI)M z#VaVfh;wLTM=JB$bu;)X<86g>L}0;3r}GK03W3?#2;T7Ek850|_lol!jX+4iD{ZSI z;GK|BN5DIf(9d10zccTk8RLRWOPxViI+Mwtjoec4 z_WE17b|tQNCKHCvk%>ZRqqBX^j~;t<#oOnko9QuCXUmo;s>7sD>8V$}xxzaW>!rSe zI**SZdRI4MNeg~7%Ef0fx)lF>{H65+TznRycZh#=y}`w2%dZr^e_0O^bVNSReKjt= z7nXD+|1$*mO#X(9{_y25!e{cA!VkTkACb=~0{&TOr4XO#e}^{wO5)!=tH8HmsWI>m z)P3|{8a(V31k8eSMJVGDdYee32|iET}nu?0T7$8Pny`;=^z81amTmK`ti*SxHUesI}27AI44-?Fg6rHTf#Hv!C|#v4kC`4LAy8n zTLZYEey6ia<;U-Q%H&omSt-;O6|n+_x$!t7;66{uSdRr-ZXnd5&M+!moe`ePPandc zobr$<2%n`E7{1}&{K3z29Rrh%R1n0s+HX#~gNyIgI3ye=AO8aVRxZ9*DBdsQ4)|&5 z@2nDUyKDK%u;WIwaA{ltMu;)4G0G?jV>xlI|+Ixho zTWaB}qVv$ceeo^e^ddVwGRxjCp7OfQ>+m*o21qmg3+aToO%gG_;|!a=}JrKkN;geV3+24iOr&o&;>aBHF;barb1-Dg#I|_v^d_XdAhkk?~+pQBy z#SlKg+9|m32X3ZfTZ=Cchl4l5Y!StY@n6^!UDAfaH2;o0UTmi zOMo5MR;fieXv;q6B?tp@%U3Wl1(ylw80J|1kF9?9smbtX2SEyLu;bJW&L1kH~fdoTJvh0?IlzcClUZs7sKsCnf%`2tA5InLqzleE=g){ErU-dT(y{ zMQCN%FfV1;uq$QQFsPl9lNe9>Tk1FAX{6&yQpLJBX3MFK@OwGzUAkBc?2ax|va~;$ z1|8w_TY##fL3agJ)1Xt~s%g;GAk{SJW`}ATbY9b^A+rZ9KFgf=o<8$Kc$j-0Ob7qv z=H-fA#cuYiyYTE+ay{LJr@xZZj@>@Ae`MfbaT{KSDlXnMKDPAmY1;Hf#WRQYAJC?6 z7#JCy7}sWPKR7hlD0<&bTYGSH@Qs?badiLCU~$KhLk%29pH)fjC8KrgH7#dr)7DK4 z49GpH0C#5YaNTh9?=F8eY{xS2#5Pw9yO4 z0Af|k)r5%MU;XK_7DPDB-5Rf*tClnA{~{JYbNV}$)sOej%d>YeNx=OhICJRc8r*)g zH2Rz&ME{@0o0rv346P-@M;M957$eeO_9Vi2gp{O_vxF3``&VOG{S`waj=O(ZFD5S3$M@f!4WnAoYPK30({nzE^n6JM1@)#!66MB^Vye_F`%1ZR^cOPigBP2&O>B+riBqn{;#XzyU4#T=c{))e`9W*Od9_^rAU5Lr-o^BY}S4qBHn{ z!6Ssw3{x+o@d}#om94ONewJDI`{EGX!`J7X8gg`E_mKhT0 z&p(snqZ@&;CpDgtK>y}n{05x^d44cFr?EyQ66k;WpHeR+uVXN3L-m(9)=m{$-ad@&PKO67lCL#MZZ~HV~ zI(mzA(4)MH2h@W;OA_cG|F66G=tf|rN!`jM(7*al0lE$=-A=Mk>;x?lYTddW==9*h zx}i(mC8@ldLQe*o*rZtkbiw0y#X&KL4Ai1d>eN5oPZy{?nFQz$2kwl6VsUUm;b3cJ z$FBO3rX;AdDFGBS=7A=3OP1jD6U*bE)Isbbln5+KM?*Yxpv5gHj(lV zKU{BlIXkEV?ndm21H%RjArN&NFcKu;7Mf-HZSl}J3?;i%hy8=<2V)M~mv0q?2=o@P z>`BV^Cr=)YPnxf@Bn!Q&S1Zl7m{OYXma`K;vDCVgmefF7V)WPN6F}kFJWViId)!*M zcbo+1Pwu=tHhgl%9w_xMtHKsq+)e5{&AlxFG#Ea$7K{6^RM2mIBmoq8Mn6i3SdN+I@9Z`dBy=c%W!|@Go-4f#%n4}PuGFnKRaAB3ELP%l0=I=Mew2U%Z z0%a*})kGHF1eG$fcig1MLBpzxcB<9tB<;a@p&SD>{ME({PAX^^^9KdIBh=QJ&={bfA||*V~q$M|X6w^=2PNme6=!0`$*LiGxyF)ATXbs;-AyM@oRM zO#%)4Hfvuv`HA}d!URtJ6^2tONp)O5O#*GM;O)(R5-63-&V@x);hM1XShcCwC*o1~ z0MtsDV-c_6r|y1rz3#rb>Zku~BNkV2%51iHX;G>S9=Y#TF}5jX^`~$z9J_B~dK)JNBC?VuxotUz=&@1_DVGL#xn2S27iYZ6>EATkqQc z3MaB>xEs0xvAc4)P)lxwB@v{)c=CVSVwHihpM!v+f&!X>e%vsd9;1ZC2^}IOCiVDV z|K3iLdX-5Xj3>6(($WK!tDn3ug>g8Pb4lnX^_eZ#z_=%L(}L-z8tCfTuSw+GVw|6& zX@+5yDn`Y!X*NNlY?vn7W=~1XpV{?4wIq?a>A)5&qzN6auz3g@5+4dEKYVwsJ||@U z?BoSFMU+xP$8Fm}s0L2$a_3JxS3q< z)%q(#^M%tndmGq9rQ;#(!wz2lJq|3Em-b=%4t;|I+mR41zvK%XSj0mcwH~DNcBQI& z4+q2h(k!omnhgzqhRZOprnJ+`3Ttk3cKvvHV^oTe6&-m-%s`uzU zTlbnL3h7R+d-BUQUvP}Z-<7I~^xZvlYUvelOuPT$RpdYa_3T!Tv1kAdrA6f1$OK$8 ztR8Ia?fj2vIMfd9Ijc8#Bv%DS{KJMg7W=f>n24FQSQw-eYu_#bewE`5dQ${L~${L5J8< z4~gyn!JJl3q38^&rdN&T`>_t9k5sJJf9$bJiwvRN=g_FJ&21tfWqctXlUY;G>AuzP zN>@(9&owryD{8PmQbJlC!ceMcXEpq9k1|G%uWi~ zL=3Z_`-ToS`q|bq zdl=RYzqYptf427C)4i0|d(_aEE402Tg~{=J&)i)}Qh?ew5hpiOSNv>85+Zy@Q?8Rk z{LEjyjw@HUQ5st@SK17l-H@K!yO^?7cpQbyeCnvQN5 zWtj@N2wG>*=d!Ia19c=nHMxokOB z({MWF+08LMEYfGqn@J4 zvwUjURm7h4cb~y$hQgu3;(k#=#{?rm#VxGu3ymdoQ%<7dElbBOo2nj-TUF+cCbfts zI~q4{x;P}YNo&9&kp{T8w`kK~Fp-8tb&m}tod{Ho9`99B~V-`Nt#)t-RFgzPm3MN z`2}}J*30HuZ5qi6URfZ&rV1MRs0tdosR|l;stUTL;?olJrfyg0xi$%#?f_Bx(qT{&(`9VBe(t#j-T65mz3(1o1ld! zxal(_WAv7;PyQ(2IhcGDAxD%lL!M#uig=Egeu!r|1LeyZiwcKlI8=%JhlgyChI5cn z5R{16_{jVj>=ck%i9Guc@3Z?m#B)q%&2;fn{ zNe;{;3Am44gtts#?HNhLmpI#wbUQ<{;1owVf*S|TuOu0ES9@YO49|pJWslx*;veK& zPI@fi#y?^7vBtn&wumXg#ri!ZuwwK16QImCrS{2f~G-kk^)r0o*NP=K1hj zOCuI{-wO|w!@DY{0bi(`20Ry^hD6m-Mpp1Md>RrlnG6kh1?4ng!+aVU$qE+7p&?hh zmywln`*82$aK@354T6Sp`v48)_5m8o?E^HF+XrYUw-3-zZXcl0a;m$f7$#?fZZV0i zAD7}tUW3(5IOQoIN_dVqB6OdRC{b{XBSO>ph;8u*z0MdBTFgh3SV`iehR*U4+Zr`A zl!GW&WRCNgwLY?{7>CF9^wDU&VNwp|ost`8(NP=Zc{AoQYkf4xtMYklLmv(Dp?t(P z^wA)X$w6#S9}V)4oU;Jz(0%Go_1w?}Uax0};LJk%nDCLA^9ilY920sOuBx|DjXN$R zQFfZi>)lb<5wgTk9wb+#Nk)ZK6`(?{3Q!?g1*ouk1*oum1*ow8@HSTHngU(7k#JEb zg;Xnej*ZIsxFSQZvQb|Rufqm)*XS+f)!iLa?@(HEe+3ax zaBQOORvtt|Z7UC=kbNr;Le0392SH}q%7XxvwelcvPAiW=(mK4aMOs&@LhJD05uUuG z)2*%dVxV;~jqA%@o1$^<#nHC=g%IOe^$Q`atowx!X2$(O2r|=tAq1$bUkHI`+%JS6 zGwl~bfXe!X5V*R2A%w23UkITq>lZ@cS@#PeOlAE-2t3n%Aq1$dUkIVA>lZ@k%KC*6 zcxu0pVL><)zN^O#hxFd#`sxywsof>iiG#7g`4Qath#ek15I8n5 zI6gEw!kV4%-V9DPAa$)&NEqr`sSvulRw{(9u9XU*t81k~=xST35CwIuR0v&ND-}Xl z*Gh%ZGjF9rpqaN)A<)cQsSs%9tyBm!<5nsJsk)U4(a5@$3Snm6N`*i(Z>2(@nYU75 z!_T^v3V~+cN`*kPZlyw)YFnugg{)ht5T?3TDukYOD;2`byp;-pX5LDLKr?TpLZF$q zQX$aHTd5Fe=B-o+H1k#}1e$p(6#~t?l?s6-bv>c>bwMYYghX90~ivC!Uiw|uciSEAuDYF zLj)8xfMG&c*Z_v`QyakOwJO@l7_6}jDlQe1(*`pHm}!F<0?f3*3;|}_V1^J?G?*dWY#YoFVzv!t z2r=6RGlZzH!3@D?-e876vu!X#h}kxnAw-1@X42q0Y%oLc**2IVM1>7z2wq`>8G={X zV20ooH<%$3>{gIm)aGO2ruZ1yF| z;2QNyK?e7#+iPSaKRfPATm0F{NNg?{+>++BI|(0-pTbpwmYu`1Y3Gm+$8W|G2qyvu z-N_OTI>Lu*ZfeR77P`QPlQ5vjU~##g4>x();Z{8d4(2`i!SWSF*vN)Avv^4({A{@V z%MSry_Kj@Pp`nkexQ1@3f`*=|f`-n9A6W>kA*lz{nR8dw$R?P|SlbzRsN_dsQX1Lt z5up^Ehf!K$b*@)QYB~chIhewSxB@1VFri_5%w|q8DL$cE;ioLyFk@cB8Au@fG|Z$m zi-(KZQp7PlWbz~B^o%?G;yn)(%$kx$HUWCek(}n0e)d2)uJyCG+Bk1Mr=B8W#_VRw z+(tHTZH7ZZE~rVN&`GPDKujq#+HaK;P~f#gVKWd`&XCf>?D=ooNgzkmK0{=<6-7Kp zoJ)vjxy6MqXDnMBo`o-irOLVORyh*L{23HBhmk<$&tRv>{2A;NnLmS_A|q~Aq#IZu z!f{wgpM|Y*f*DlNDklJ!Yn8)!*%s?Vb9qZTfETahMBkT2>gfKT_X=)N|j^!A5@;t&0M9C9R7B z^yEfBEU4f_(!!QVskqz)DXn!;3UUXnivr~Iu0rj%E}8_9_FESPL=?3y3h>=<7wR5w z^3+-DqRCKbuXWL6D74qQXfhPqYh5%M3hlNonhcHhS{F@*LVK-?CPSgU);5m>mmuRk!EtZmMfd^eMsA_izK+lh7c!Vk~<|L)BXkC zRXGj#Lgh5zx%f1ii8!~CFC#1X89ojE>)F9f%g}&VP)-9j%%_o&tYC2*8gjceGO|)` zAFQUp8%IVq2pY=m12mM|2WTj_571CPsdYv&Mw3v_B*33X>`G{?e8XC$$l-m%B&rH_(*mmop zU`3Ad*mmn80blhk7YQ-p zBQxg{TA4W}^fFvkZ{y@ka+4wCDD5=E31pg#3aKhUgMXOV93zrBzHN9+@WBcZE@@EH6Rz5p|61jv+*A@wENX!!Hb)nYb`(9=>p^5XZ)VmJypJqk75F)%2Ai8dPlaxt06#u4gF z#%CahXCbFbReuhQi(ohjrWLSz9tbvo^X4In%~-ki+X>dIRj<1Op39w9zfd znKrIN4sU~L1MD`zU=d8TSqpN!1O|7GOp9`K55I9fOvU%{!a;S{hj?qN-3}h%wLecv z(PIpSy%mh-zCXvPvx-sgEgrfen*Dcp*Cke)f7QeLDpax>Cu**)@YOW$uIrMI>v;5< zRAZNQYO*R*!_=ek<_%6xpBy2gN=#dr3vy*cANaL_Pt6FIlARTd*L^j0LoGW@O2%u% z-|L2zlfsg;{X5v literal 0 HcmV?d00001 diff --git a/end_game/end_game.runs/impl_1/main_route_status.pb b/end_game/end_game.runs/impl_1/main_route_status.pb new file mode 100644 index 0000000000000000000000000000000000000000..5facc72b239ff7e8c754afdcd1a4eb56f577fa75 GIT binary patch literal 44 zcmd;LGcqtV(leRFEE1GoT9T>|T#{H)TC5OUnwy(gRC$1h;eilCgXAiv1@a648Osf1 literal 0 HcmV?d00001 diff --git a/end_game/end_game.runs/impl_1/main_route_status.rpt b/end_game/end_game.runs/impl_1/main_route_status.rpt new file mode 100644 index 0000000..a1ccf2d --- /dev/null +++ b/end_game/end_game.runs/impl_1/main_route_status.rpt @@ -0,0 +1,11 @@ +Design Route Status + : # nets : + ------------------------------------------- : ----------- : + # of logical nets.......................... : 420 : + # of nets not needing routing.......... : 122 : + # of internally routed nets........ : 122 : + # of routable nets..................... : 298 : + # of fully routed nets............. : 298 : + # of nets with routing errors.......... : 0 : + ------------------------------------------- : ----------- : + diff --git a/end_game/end_game.runs/impl_1/main_routed.dcp b/end_game/end_game.runs/impl_1/main_routed.dcp new file mode 100644 index 0000000000000000000000000000000000000000..4874a77fd6953b0f457466dd1836082908b38053 GIT binary patch literal 236756 zcmV(&K;geoO9KQH000080M7t-Pmme6pojqg02c%R00#g70AyouE_iKhjgwJNgD@0@ zpEdD6G(72p2#yUkQv;Ma5;h2fsZUD>#WgZYpiK7f#ii&l(e$zRobQx-NXL)OHUm3c zR)psFk}50G+YTF=SYj2suP=F!Zw129Kh+y>le zqk*bRR|mr+a^2Oz#pT5_Fl&+}fcpT=!K5U>C`zDe?euOqyi*2X219VIeCPOq2O}%w zAxpAD2?e_^gRFw{k#@Nz?4t9cl5t}b>u4}!aq)IN7+tE|5YmF{TSRg`grq|23>!70 zj%U_s+Ahsq3b%MQ^HPiE3^&~coiv4hhFD6=?Jr?MG7Q3f(T-lAB^})>H%Z*~Nt2Kq zdK>DRrs?`^_mxNFVruwykF7SSz=E_5oky z_pgXek_o1~%=_)-q8zmQ>o*A&-QSs8L60SQTne%QG;>gT<;$FtK@6aWAK2msFjcTdXHsvF5&003KG000O8003=a zX>KlMWM;g&W0Yn~vo2b;yKLLGZFJc-yKLLGZJS-TZNH^1Tc_8z_PzJ)d-m@$M~n|FBeyjiquXb%ibScj&$^d*rzhGyNW z#FyBOR97ZglSHO#a(Bn$pcrGGW&T;_j-HR_$?n_Y@jJuU_Ns@wBU^`FUoL&0odCC% zXcEBeR+8rp8$#)*>{EOoMIe$~eH9x}UB*-jy!z1YCv!-9bQ&DD4+HO$^NF8Nro+pqElATG5v6l`I9HAb?Dw5sole2({Y947Cl<`7 zZr<+2%ufJ4Ez_zpz@E9s-cBN<_mvjrbkWPlRrM`AdMkweCCC^0Jm;vU%Qqv`II3N~%u z{y+N?^WWMx8V`LRnOq-<=yUT9`*fbSMG(9sk{Gjx3bEgsH2PYMDb(Cg`TR=#ELzx# z=mvHX`G)ZJHV6<&ac}Gxaigo^-hrd|=Cq#jabtc$lGLyzTJ?I62KW$2v8SV(vz5K4 zt-w7oV?_;yf5QYeE0K!##Y#p??hp8$+^jj%BkV4Pd%{Z|uqHKLN-PO4zq#Q1D%Gma zmg!&awFU+)3xSOe%k{j<{WLO>7iH&ixeA-ld3v&WS>eHfd)HIGH^R^{Ia?m&{nPMC9}GY(7n7qZjsgw2#|yRoTMu?Ng|&p<^6gw2zvT3 zLqFT`(2?D>Z7^o*2xvPJ^ZVFp$YD8s$T9C4-N_1InV@@T_vFA8`0lClky)&!I6MuS zPrBYEm@o%7=2Q3{zBKJi@41OupY&t$iqW6ayT@o+wd(Gv>bO|4Q+Q6KW}GikdmHfj z9J~us^YUs1JEs!Q25pQ{i_K1Ic;@{o*pKQSHu|EvE9IDfB!zm+K^kHXRePw9&fAU$ z72xBNB@MfR4!*TK?=IZ&!B5L;(gx&hXN{|-cKp_&cHR~LBXbjlZ zplmEwgTFsF8PP_G-VNGM45u^rZF3FsEq5-l5q0;gXgV#nRPT; zwkHZl7fuO5tPh39w;MLh7}jY{zaBeSCLUVewWCE3au=An**?-SsxZf`?LS!xcggi= z|H@P$LHAhMKev{T(b#uExL@aDGxAvFI|BUWwyQjpKjq~B`ktL_#pE8({5^(mWjg)% zo2$#vPfYWID}t~Y7DU#$A%t2`(@pVF=yxZY7UAgF(@k@zbgWtC1!P(lY_q>(Eey*% z&|Sq~yQZ7!DYVx90ty3Snh=Mw;WN{k0sz7m`G~{+%IQr)_fG6czt}DGW+04aAf_kR z1*g{YJ-Befxo}t+%t4vVgHDcZ2v2P0y0ibDp-r}r&eXd5Ukv?;P50k0jG$x=Mrkon z9P_;NdNv0AAB!y~rq)J{E8RMBvdfui6%i3y_jjyJa+`~^bP%2FR~*;g_*q$`4A%l5 z89iU>M$3Mu15hr*&b2H^lMpK9=YwZCugGRSC5Qmd((E+;?VP9Q=|eb&&U=YsdMfO?@vIhbzbWW9gD?iL5xFAQ^> z?Puj{1M%zm-_X3c1ABM>6@dsC{NphCM`8C4!EbH@-C##Y{~-z>k+yybSbmM0hCoC# z?_wL6Jt9>{4pQ}& zcfD7-aC*DWWbfwBjlmvZZEu)qh);UkW^ZQL zO6WljVDBHX_C|YI=`ZByy;6|7#ZmSPgB(I_K!0EVzWU8A$p3T_*XXfv7=K9~DAX<> z4&A$c&lsG5`bBaboif&jDB`EMuRc~sF!Q;SYV%KC;0+`BCeDoROtQBeI$JaWoj>QIBXmX@2QWkSC?j~npah=emvLfK{!)|{LIX9^9cNVGhDWsx zTM)%|ZhS;v@SH=F5LUewsdx`CY!M`mU_O^F^ru3|38l6y>EM|Ab&Ze;tcc5*f<-yq z6Og0=^$;dG6_hDoV9dARVVLgjkbmM=6$GI*Sa~uR&6Z(0Tdwg+qp!+ry0h@L zM2f}d4eP>l`9ppAW8tAq1pl%Aq>8++@+9q~SJ&yrc#(g(`yW?xTN&eS==6u`qTbm> zYeC-)iT%y<#g9z$j;$4pl(v~pfGHgPTVr2Tuk4?~I^-^ZbtU~9c5zg%*=b>&LkHkO zi2m(7BC2O+udocD`|!~ml{@P)f1gM1**hmTTiXGsAj9B8J1nH2*KsbmHj**M(3R^1 zoKK3W)6lc`xiv^NL`{?G+Wa{-YC^-Px~5k{{PkK0)r(%m8)oiV`q0tYMs^?a$bOt+ zO=w$<&wW=#P_mfyn0uI#7HfMsb}3oAlQz=1!`JQ9I6Z=Z6niw`_zFIt_cdijtQI>k zfmUD3@mNcHXnP5CBuOv*PiTHu<7I1uaUMwrt{(PP z77ot=PhuO8q_M7jpsuFRhDL)UsXc%EU+`RK?o-K~C&D`L4p*i|ccx^|l{tf zhTvF@POr9e*;4hQ+Ntr_8OLlA?z0y=T(lgrueMvZ@uyGR%C%c13AL@v(V;lYa1D*m z@mK@!P#$8Pl9LMDR_D4%0lLS66e8C(1<#UmUTj0$a>I?9XC(+{tV9deP;S(3fsrVt z$;(K$KOZ_$nKRRw%ZUILfL~&!tWu`kq3w{wZ#wE&avB**B3ml+r{@zEcN0_C2f2~_ z7#iX#8fME#&wqg<%$Z}2^6-wc5rAJArmQ)p+y4ns*Ah?CTB#!X69FJv)ylV|1F#M8 zq50Id2rgi;ValoS2=yOA2Qonb_mrABh(e{tnS z*(HUuE$9|nur5EF|0}j2wlFo4|34*`lvcHd&U$sMx#X-p@LcHrDVJT^(jD9Rp9ln) z_gu;mAGWurqTj%`h{5;P0?~h(+sp^scGeBnp5-CT&lXWhzL~$og3hdwrM_jpxwxOp zRBV}h#`VP9R(oAH2%feFZtvELHv7Lb@e;bF<1qhi?PAD26aLfJ;X{*KOEhpL@dOx? z9Im?WdZa?QagZPU)8kR5&$6CAz8qgTc5SsXFzrWC{-@WyIDK9Ec5ix7aJZFge)T$N zd0o}Cai)1KUEZ17uA!d;&B|(N%R+lg%L}Z?+d6{Ks(Sg;lY+I59E}V2JTOw?=<0bG z*wbxkxEqax$F`tV^vwG!qTzX^8ibB3C-tKG9-!^>(tB@6KsPg*dhfC2giZ%D_++&u zi(i@xFEb8>jd-$XfNV;#ey4P?G0*yfDgV3c=<|PTgT=aZgR`D91jP8#eeMS5`XpVM z5xZ?HR+>%x%Jy<+q}~UOJ3<%xBJcW?kcYI6%#ly-qd(+YTQe z&$Ul1h)cV(+?o3wYcMip5?-}?haUD0)R zPCv7{Z`FqNPejB!s#Dj&UxYI36T5({tMzcc_Ow_+FK#sLQFDCu&yAa)rfEmj*B3A@ zS1Lr=#G9nxao0u!k+@MaoF*`lrNikJjO@wH2SyoR1j|)QU44{Iw%==P(pT70n{3L= zRco*--Oitr5QE;Ljx8tRf!ZyuwWGJcrYW@E+yql=1>vE%FWFv5SS8HUzU+=e*%FWr9|$L2c&* zajfUWr&!>LA!D6`a5Vm>i4dcyvHQkVj<)?KC;I5_I~AOOY!b&^ zeBsf6Q2=Xd*MM1KMGk@)vI#hclJ+dAYd)t6YSiZ+nsK+NZE5j)_BvN}`2I zsN0B1M_zC5XO`y!iaXEKQQ4HLhQqHSN}AUmr|Z zF;yR>^q-}ieV-+}y{)@LCA&jQ*Q8%}9uJrj86L@B2}I2sZI|XwZJ$dJi&Q5$D!FRf zcdc!rDm6k;9ZE8x)3_EGO5jAxfw01gNP+A`+jvASLSv}GvRUz$u}Is$De6?l zGFvsQH`a~Q*>l!ySx+tlCe*yY>Y}QmaPUkYOvWREWAJgN+05r`%eG;sL#_M|Gz_Q@mLt`U`ER$+WP%-xS}w<31lRYn^$~ zW#dPVPpc2_zqULH^g=$P?q4qyzOaXP)#@g`CiGp{9uU2~xfBcSdVCaI$NX zeg^J8mpoA!SLJ+Nr+#I}p=yS>W0Ku|I_LSA~ft8 zm?Hc<4Eva~@@s!I=Nw=*6yn;8^OcoL~;y{u@SjFQyOYb!1? zMBhw@-bz=KIi*1!FT1S>`v|9bxc7M&UA({9C(jCeYb_iQ{5r&tJ^K_JLtMpGr!ec{LS;D+r`LExX`Q7B9$-O-*n0buTVK26cWjFj zs=Fox&8aEYWy77_6ZqtedqR6|+lzL3i`xpJs2?6vP5m^qGi1$EJ~W?m0WyjU3F+t- zlxp|SX&Ib;ZMX;v1nuZZKthtVF>PV)bo}S6S@{N!R+QUwoS#|JJz#ru=lyZEvwg!w zbj!A->n>Sv-JC$SWb$5P{NkRqQ?g~V!CC49W%W*|Br4_3<8URpOTH}Fn^}8G`EsS= zw?pEvOPgEcyy(`|GLyntdYk@e`vOz1{%HCFQ>*@{;2GxSDpRF%^vda)O%S}MT|E&5 zfe-4UiGD3}SJrjcy25RfMT$W(XX~k5#+dG8&rIIEqPM0vIJ;&?mQeG7-YMeK@G}s6k&WvgxJR z6OLEFzYr|cM6Dp<45ObFnA>$mkp>}4Xw}IU;>no_T^mWjn%&JEU95gbk|e&z!b)mb z>?pW*!-YjK$Z76P21XI;#$W}~6Ur{iseiV)6nP+d-0U7u;0g3Ea;T+3fLzXT8=oAz zD@^e`D|fhsn`%fTI0JO9kf&P{Mh?#=H(Ixb|7f;QR}hnEc1=HEPHWk=(y(nR@WhtI zovpELPG#~ryxxj8%i~MrB^uuGdF@>cQhLgZD-@jp>Ryh2igEE2)W^%+wCRr@ggx&( zE)bLmR;JGH3kIqTpP0y@wM&ERm4K{O#YZAhR$%ykql$e4#J9gZ9=x7;x%+y%yD@ci z^u=DaTV!&lfcWJW&be^ggpAjSqfBVwC9$V>zBlNAE0hGPmw+^>6G@5zkv;8o zy8ZYh`7Ex|S0iUgnq36ky1en?lJ!3kXDCXMSCSq+B`V!MRRJD{^tdVX#r~{{$&dMt zfueaRs+cFZP87Dit;fOOrNHEPhMM&0x{)$ZqEc~iq!>MS2F(ez_`OF^H+*A-_SNdW z@%b`gj6we9b_JQ2i{?p zcX1gSC_jv!)2Dc7fvVs$r25Eel z)w5ECS6Qduy%I?{RKN_x-O#BP+>^LkD|aV0#uErxRD#ryjX@LYgS2UYml{1A)kCP- z?+~VH6{^jz`#6(kqMYElb$3d}n<0|cy~fU%;=5fjO*_|SiP^6?Hg@*Ips1BoaVd;x zx__KmXEaCUfN966+7qw6!jw5}H0kG9p4{W z`uhzasH_{j6dhc=3taAzDOF=0W-%+)V1|;=-;qIb!6I5T7myv89}lGsw@d21#gJ-5=fG z#$=TMT?lw`s8tHGPMi)p9s*XPcO0b#Qnf0`DwI9lMmC-`BpyoI8m$aD)S zXFrRo@<6&UqgtH-8ObnxrfP)&)v*@#v2Wrz$GdcR-?Zxjd1Z?p0}c&4+#_3ob>8in^;C7T>t;TRo8{|8 z>AwBxX=x*+olV?Gqu0l&ws8~1WXgrzTS+^p@{F^tAT)fZ%$V6CZtlDqFRu!lJQiCF+Tv`0r7smIl*27L6%2G% zrI342rr?-3Qh0T$F+#jTot2M@ZMBb$&DS+SIt?j%E|fcQwW}k3 zybEcl2US>zG?Wu%1?z4*RNLI)_ra3cEnnnD;B_r?&%}O)nPn3#$2>wT;aF>j2~j?L z;jU=r&tlBU63Ze&eRh$7CVy#IO*sLhs0L>`tfYoNA)Q|hH&NvsBA(l#zlj;yR3ynG zxWQD6C$Zrn$rI3gKYdw=v9=gB~Y(;8*}W6!)<&2KbSqcUE0(6 zBBzvIUfH}DH+j|(HjFylx=9-)vsgg~sb+ir%vv=o(eL;OR5i9jnvX47*6l>!h|st? za>IzD=Az$uA2sl!NxwhCoA!$xBnod`!KT*_S{5SnT}9E(H3Gu8_X|dNmr@~*c=v)K zqdodl!^S<*f8d)<4cd6LXNU3Z?~m|qrH&@uyUV-t8spEVN{o2~|G+n#BC+xC=0aqC zTZJQqDA)7#Klg$tN9Px8DFUu+MNo7xGDzGOS%QvVg&s;(*iN<&pWF#;f3OXo+zo99 zvkRZx3oS>jwNX`|=sqo%eBU6Q6aOhnUKc*q+Vn_*irBQ5;d4no{o!4Cyn`|2irSCK zbU#gY;w2MJH`TMSMN8VT0?M&#l6fze^WZ23uX+?q_NdrIKD+8K%K~#;##c_7qChMH zYGtLETJU1fe#hn)kP$vbZ zXArJS0M`H;OynBEC`ym4mv!8#_z3s&5iWYv!%fFvcKD~xl=pj&t8xQM^m>p7HRCKe zrbM8}lR+;GoMSauSG_ixYkFW!tDcNqU`4ReNf=Y=h%$$b(uc)+@1z*2oNhMAqS)=D z+lHNem7pDu+EqcV*4%A#k?!~>E|hk7^osM*st%!zX2%tzoB63}SrYsq_h$|JYA8j~ z^asQuA3Y#xy%AC3!Mf@$7d+wBFKbnIs*Yf4B?oR+Mr~F{6`vC*LwA4>w7|^*t~O4H z1QIDf7>E~aF$@R;NfvtG1}PtLKu6Gl3~W?5nJnOlo^$Ue88;U#OvuBXo-;U6M8gr& z`RDJ%9A9yC>4+~WqY~T(x7iK_D*p3otOcX7w<1oJ&*w_}rb11^p zj)bA(+?s!BBUIF6O8<{*^~92tO2Rj)bUnYIFL=s9W(>C>m{!8IFw5DBvCAh}1HMh1 za-%7T)Hh<Vzz-c_a6Ae2KQP2j^Y9^rQCZH)6aZ|IfUNR{rE zW=o2BZijii7ldoBP>K207E7wv$R)3FN-oo{S@%C!ZTHdED^@-C14{?u9e1Bz%VrP{yzXZKmk)974*2pRLDUC!9`h1C<{B9``qQC;RJch{)?p4+u|lx+VBa zI*17uM3)aYY+bNTsfc5r!porsY9v+aq3U9SvQdOng&2wA37DIKaX2S6^r6D+HBG`+jOeYMca z^TGZ7X4s3HqxY4#y))IfRonQX?VGnL9&b7>$%?&#zlx8)Go@ZMc>Hm4ezEnfnlUa8 zS?jhtNGr3GwLUNpxQW{wp8iOZSwa#O2~B?hnK#M6jX~I%^dn=TkY<@YLO($MRCl80 z6Ga!e<%dS{AkUPgZ@fHQc6#O0HX+=?ZOz*9nfiM1bbCBoWR_{_&WW{7jcRY#pH6E% z6jf_Q3vOkeL+>2M8)dWpFbRAg7uN>Z@z_2}e7V2gIJUI+H0b6kqHbChUCK4w@0=@h zAuD$T57_)xEDYYE$*~oIp}Zw8l_W=>!xLJ;11PxVtGE&7^8g16ic`LcQ1T#4;|oiP zhvTo64g;m0fUcpkD9T;=v%(|tMyyg3+t%AjNF@th2166sbK{j*tW>*Y7xoz7eKTbO zi48IYzQE%PXnged3y2@4v3?*8)!n|73^QQ8sB{C*-AoethK$zH`ic$b;gAJ@Y(|!Y zQ;!7>HRaR6W{w6H)C(?w*yR@-7Lh8 zrwuTzGbBNelin~((Ik;h7K<bp!1vA$&cmPpEAqYlP}b2G9a!j=Y)zFnwSDB_ zoy*p(mJ12|JP)}bk zE%aU(0%$$ffXSHs$Z4t#kuo@g!c1`Kh{bgcbb=1UkyLu%T0H<2NobvwOwo!D2{q*A zl=cK_*iC4Fb|NNtTqp|xn?>RXR3d2Gzkd*$>7kQcvfYSZkIwtbm1TOq8lNmZ&>ds9|_=fa86ufuM@I`iV8#pjVs&**58llXsZF0-3OB zHNdji2SVlRiL4o^)`T5IV_Q=1s}u?=@h!V0BU)_+#oJBwg;wdrFH>w66+w_`@v(0e zs5}^fl=-A)&V|YoJ7O>@_@H?BFp-(8mC3~3&2MpSo{iHcF+(o3nX#`=2(dY1!*})P z4_};YPSBg6cWB_!Sm&iO4U)(|ilNypL8LMP5v*QL{%Ne~M3YwAyVvB?zFbkPf{AL- zgJg29R+%Q&nGe?gtfGnJBy7{_O?R6Y9DSyBvpceli%qLZ!u87^&)!>##Op(4PXzCM zgK_s47h6}Uh-$mnCq;SW_T(7mbt?pXAJ$CYW&(=5^#txL9`A3LcUR&l?>{DI)d^0E z{8(>d5bl|-&fh~{lI8~@P`vp z|9m&SJnWJ$e52_)zh9Auc`9}2zx~LrO;O#%F^i~5k}yB9d`ToeuQyD? zbZ#C#ZyvGG*?^~=Ooy=oo_IEZE5XktCJlj9Q31_U;>}ayG^}>2OmWn-`ku4FD`B|D z((3o=n$J>n?=`I2fpS*m2FKoUhZq;w2$=s>a*ORul6{|3=?N!2F?YSjdCx!9e z+C%fHvFea(e;5Z`LQKALtZ;iueKqP`HDj1s&;9dH4K|)013ztD>TVQ9ueX+o+vM=- zu+{DA$JdwyU_Gm;Z4)2mWH^l!i$d>V0#z>hjId#jQm{+HVu) zS)l3iH0ko_K=o;Jyy|lK^4pRk(CEhq_hCTX_BCsya0|RPz^RfEgQVNi4e~iJQ6EmCT<*>!3 z75~&pfJ?3#4xyLePK1z6_YuvhRkW*t%tSps92qSPhJPP`!siSWS>w`^Kf&uB!ZELL zIL8!C9Q77hXIqp$!ROQ#Exw1&uqtG3u{c}aSNEL8)an7-KwypiNFXhH)xTx1B#g_> zUP&kk3ZVpVbY#YDyhW<0O^e=pe@Oso;f5X!8d|^5LxW=;iR1mDsnO^Bb+=tHZFFj% z*0gwa!5-1$>y!T~HJ%9!VgHs;^oec%doar#dq?)SWO^WWEXU0agu+19xpSZ4&Xj{I zHDCAmfX9yl!;7#5j^lkfzL=cfMdqYdYcY^r5u-bs6Tz4(Gh^8$_%jO>^B4u1-DhQc z@Vwmvf}N=nD@j7e47lc3e8HB7Q+!!8a$yUMNeXrD34=_AcSfHlmdT9jy=;;eT8s zon0bv?T%eP?v9HXOxYA+)4(Hp+64C-1#e|(6|XD6Vg4F?+5{am3E?zlfG_$~?hcp- zQ3gySZe(faFDu9bCs7P{>6AKYmHvEcQ0k&n`a!{Z@2HXLs*#2@7gkEzNzy3O(#pFauWu^UT=Z*`a++1?npJ)0it<;iTcxd^ z`*3In^E0C8+q=I9jd?jHNqBj}_1n*WcdfD%0- z!(iKGDL1A)UMCs@*vxDSf)tN}ta>a2_m^)(W_z~vf-WQwv68E9kk_C0?$Kvf(Wu~M z%C8pPFiyN~rK=eJQ9p0d_*+iOqVEYZ!QFV^QH@83w9aJqeR{VD#yECT^A7zZIqjK7 z2Io!IHT1d4g;qp2Hj8W#2X*?QO&D=)G%GLs0s0L-!+NoXBMf>R{dqll~IX=u$``j*x}EH_XY+XC=;K|>r1o1oeN+iBQS?$O5f;-7F5 z0nj@Y+~*O6$%8~_7rD~=pyY2rv_G8IcvhR1?vZ4na?A%u!kCCe zo<-stkj_Vu-oU#A&1~r7v9e%IMovI78an>Fy8eWs%%V_9Bd)-x9-FO!!+SPxG^bLy zM_b#eaSrAO3y6?I&kL^WN-ThahL;%{TyWPgFf_Ecl926cTcGN8UXZ5XDwaVxW5!P% z8dOG4J=po3;qZs$GFxy$(E%;vA{<|m2M5MVB%eKbDKv6JQ3WS6HuUsiYEi8ZxNdjj zyzS)N&Abn`vK0FNM}?)}O##rqw;xWc;Fi^aEteQ?)yQttOsiEh^r~SF7G7hSTR4Xe z*O_a-!I|RWIKV0)k(WXRUHAewkjnXG6mdY7*P;K`^_2QcLbgyt7P0Kc(ubd_2byCI z92Z2o>&2b>PJtPk>p2pSr(6@|f3vrD)4I*CZj5Ne>pYK+t!SxX>ddXRSNb#cGip}etn4^m67na3RZ+kGranw z#bsgdNHV2Ord&dix#`}bQY5?SMM~*@u~ndYU-0}O$OWiq96yjfg`rCtoB#>dIsN;+ zQh6ckI3r8QV+@RSTBUjys!$iJdl#5>T7Uf{(+2&IYTc3aNCS&r1B<%9M2J@0f+wZn z7=U#i{E5Jr&B*wHz<8-oJna#g4QqF$e0Zg-)s+m_#Vfv9Vz2~6W3#DYilL#3>aI@q z21}&4LGwmafq*DJx66nlk23j1T_0pQK*fCA*di0sCS!WV+@4P}cf7UDSB1ofgJtGC zy%~$l@*{_+j9GVuN6c)n)racVr@~g>O1dzA5tOX?3+d&{|Lc;uJWa)9$8nR*{~Fu4 zYlLu`Hr3LAC5G7|q&hs0_(!XDDR}PL5_8VXSXZnCLJh2+wv2I?YC)GvO=_8S#>Q-__=eb&V&fR>`=g}&JYTfu)CO!XiN%k4do&`zH)3X$#BaAdof zFgy!&>|iqU#c5f2M#wGZ9d?R zC~Nzh)bigE+t)2K_RlhdxrzzOzGw^x{{0l=o|2I1`CUtZl5qsWLP)|r2oN~J7kr_r zD^G-CRG`m%4v5tk8V?&Mj2?q_(=PEmRw- z99@$&MtWTaK%1G)hp@o}LTfW%vjss~Jp;GA`)lcdE%`!P^?^3*UTlXw^}BIhM`bm( zBbXhtL=(_!ukDw4W8yl=$J3OZI>K(vYuKXprK+Nsra)UB$_o78Let5aPwJzdyLP5O&74!MCg2t1Bp!fhW;|qoYk0AjkKn8DyrIZ(ryjdp`k*tNhITK4uOolfuZ584^Fe)*ECnKtiA~(>$ZZe z=#=8CD&Ezas|fg|uiz(~J0^FI#Im{>XfzX95gzTwfJ?S~voF=-hm?%aeE zGGUtC#zVc}9GZ@VtxwiQzyT{1`unazqVZ&+SO!bc8b+N;zHiAR?<`zc5we&bS6*Ca z&f&NGXwu7@s`;y%H;d#Y&N8(?~D{^~z(q`~ym2dpFRGGXw=_O_|r)j@Vj0wIyz>tJ@0{GZGB@aSJi z@%2Q?emR5J<;<3Fz`p2i)Ri`-8y!Li&ZZ+^E6k}u2i7;I$cxQ z5=yaX2|vq@#=MR=^%S&SB*MbI#m8+bUgjDnpEZBBUG!@ju(e%uKLzOiyG{c>wu>$@ z<{w?r1GYPn2e!Av^Dk}y+xto`?n{4e4Dj%`@bJqALAwSIm-Zc9!$#`{5B+uw{p!Zs zw{%a6I}s9g$cR>;}M(lgojEod+UJkz`oCK=J(+WsOgp2 zIhW|2-b!u`z73w??oKD(9%-Kf_TL}KznuJ@-(TKlnYa3w3m3zrBA+SWkIJoII`ZU0 zG8!~fER^hCp5mj;Uz1eP%T8;owcW;+=4QR-B8A>_ioQ|=IJE1my)M6Ts#MpKC(V|v zwzJ9ppvhFDAL=nqQg~|CFm}uaFcj%yTT$DW-P+T=dML4$JvU{mzr8d@9IcX~i+D&| z+;Za$>r*y3x#7rNQ#2i84cw__qFf4@!~RMNVOUfw$`WXV)tTQ^2y&a{v%wDhu>?us zzCTcrYQ{G|w$4*&Pzb{iZRJR0#VV&QZ{|jm_JY28G_~CGA6NYNGNwr(P4D!@?1K!8JZ>Flw{CRamidb*H^y(oP4~y_;{D>JFsH)z z-S)ucZvJ)gHw54z1|@@=NX{O!K@S7<3dgO1j@o!W z!Wmfes&Xzhlf@yl$GLvU^1c|z@{@DWm8;JqsbL16WkvfS8g1r3f4G^Z5G)q-!*=rgmH-(6tOBKcH49~RPoRb>)?ykG0g`771 zlCBg>F{%Vk%_%8u+3t#7{i$vyD@K4H)G>BHgZ@;uf`GV?v*%ad&7kQTLkn=DJif(M zq%%m6yu(~Y@ege0-$C+ZImtp4E`QY|c0e&AcZ#zUJa-3Vjx@IiL{9fVZto!ZFQGDF z3dSP^hq1g$?f{`Ok*}XC(D2-NcV&(86Zwloe$*Gm|E1Rc>m6qSIFIE`aKA$2AiOR{ z%3F-(-NXy1y8JC2_EAy&w>0;Wg6ZGV|B05u`B&BX*PCQMcNQ;@;&S(&oM<`8M1IPD z?Ug?;{|%e_uaa>8mfZMPJ^HVly#JNNe(uaZS)iuY;VaI9Rn9c4uKs2A_1=$-!Ro8F zyZrU<2lB~$La>5B(oAowT+TTWVa|Pqw1+rWFzlmdQ zGATix}6k%{1x}in07G^NfXHyi(j~UyWRo8<=of*1nTc z7L}JVve{@CwK5D7ht(=4k}oA$NGtHe|YJ#!O33A0soH zDB}UH#nR}n4-WI9n*V=?jj86FE-pK!r-!axHx5hj!kJH4L#71Utr3QUZaPnB{L;a? z3zb{ZXxF9LLXuMAut6UFeWiJjdcIvhjt|?`_&X*6)n$;}kalYWrS0c+s2sXx`v6Q^ zWN|r8YlQK>xXhMv4-WgfNvalY!G$DDw_%+iy|XE_Zl&j-T9ppK6*L}4DuCk>Ydi!Y zb*`k6!^UGrk$J?ti`F3R!aX6`WpL#DK$$DdZ8ouOX$KysR;%lL<4#R&%||;BxCw9aQg^)-zNI6 zQ#EqL3{O-Cv(%s3nx&N(M^(T!x~jigi)b71NXzKqg>ce!6xr{|w@`aCUfP0A|kPI~CV zB5AjR4AzYdmnlKDFZ$is>d9Z8CtEZhJnNm+N6s9ABUymU9D)~7Md8ee2s?PPXM;MI z)ilQ{AO@Q+Xan1t8)(NOY%A&qGH30CrH9*;EPAOX&NMaz=oW*QD^usDqf{5oX{kiL zlEV9Uet*y%HfATkvYCJAoV*d2@t`liU}KrVi#iHuQU6AxjS(}mlqd_Ij#POXbm@!3?I zIoU=bHAPPCW6yFfAx}=ZT|n>d$P@KgXd&fTLR%(-x0A=!*X8WtXg$_}csa%FN0-Ul z$>;0taQASOm{h23tj`iKF^ZKPvz8aV*8A;e&g}UwluIR8mUqiph(C#%Rx{Nq@^H1k z4V8n_URlMxFRbm4Jg?wfzD#0+ZVIYP6gb!jJl@-5Qj5lJ!1X^E;SQM&vs~x6&)b%U z7wEOL?Yt(Vzyd6cZqpT>kELoK%cOciJNxZ3LJ9BZ4?-wnH@q>7*uE=G?;B#!Nl%IaO2}jNnQLO8MBlpc3>5NAnd~n788By*0f~a0=Hc4Be6mY zx?xMI0SR>D{?`SqidIYB`mSfgUlW*>^+YZ8E-NqSv1-B>%urrNxiu;KCDG$?P1X5) zX-1&s=Btl^L(d*K+qhah91NI4s9+X(HGni5y_hq*K1uEy+GCP*v6vA`4x~=B9lvIS zR3-;TD;(Q;(a26zbPb)T&Q5KIv^}Lw0|&E&y({?Qwn3r#J=C<}oITXB*2A+Fn1C))VT3|#y7I#VTs<-J1`Q=S|K3UprobF_WW-44adSBJr@xvqU zBpd-B@wYI@f&UO1*UCjKU2UWpRJRjC;bgfeRXtZ+H=i_P^vUrtY-4L0fgOa$*+-$p zJs>g^-KtCaT!PX-i~5^w%1A=J4B8eEKSUt8@P=0PMpnc&SHgxQvTj7S>?zCPTnxf} zpDDTxsycK6J=Y%(B$Lwhto$04&0Q%xRf4mq-UJB%r0FaW{Qd|xCxH%)qG~`k{{~BO zxouAxaga5Bd(6e9Ij8=O2aobsi|(`^MAGTbd+l6Dnd&i&<=C7LRm5Sfh+tVe%=tQYdAb_PdJ$wtq4^;|b8mqj;)hy%~}P$kH^y0vngQp*TA zI!-1!LJNK*?kj;rW8vK!V-N`i`n+YSd?XgC%b~TzU8_*(r0#B?|H?qJ|GkXHZl9dl zM+AJ}+9n0HgNOx#ul)?<1e6VeDGy;D49omG_n~Q6d9+cP+Yqn)iT6`IBDFBX3>j9m zH9C2%>2$JEmILq4E2oR1uCYs>%q{? zD%Vg;2!v5}ezw4ki6AgE>ia6q!PWUV$`r=#WAbtR?zMCH@YCIw>+vz;Q}!tK^|Cas zHST!7*orl#Y%>KyKl)F1VCRlKnWxAeqI$shOjzl%chTnFWtiPFuregWy^PD?9aqIn zn6BLw0>$CJ+`xW8Bh*z_q=0g^QxwVfq{%m)pXPU?6EU~%8~fA6%^1C(h(U_{Q2@QC zz$hX+4;S`U%}ORz5=ki5 zhevy6^~z4>mCfJNP+J$qP(*n~aLFpP>zT8ZMuldJ%02Dr+k%iZt*ofaR3OF-{+aPS zZRHxHgWvkQRFN~HsXNg|)f$@LfO-C34Qn{-@p#d$$=*IDZa3Ar)k9h|R%q=f9m%3r z^vt#vEQOa@xYSzqKPg&=iz$(0b&6ny)FWKBh14C6b8}Z_50~0Y^v$dObJ|kAe7=H7 zru<&d>v+OC$yJb^tz5RlS5CJk$4lc?Cyg#&}^?7WPwnz*>R z=KNw3Yt#4GF5`M5`A144c(9P?CsE8dOdBNLQQYELjmWjbp=;kgP5E@_`Y#;;|h+RRImj}EeK1|_0AKD5Y-`se4>kmCgY ze7DoQlh8SQp9_B<0EuvPnU=O+`}#L?D={^^%9^3E@^4{Ae&~hUOS6F|_))fPp{g{0 zqIs8o#B-BBUewzRN=(;%ryts_FUiP|8~sonN+(aGIIz*8AF@4+q0B51p`gO)wKjkx zYg(wo#0IJ?ckOr`FxOS?R08M+U9fkHp+sUtsA%pJ)FnJq_;LlFm@#)mBQ+3&F0=hfv*rs$-4@e1dNX~b+f-8|?fGU4skbIgoQ`%4Rz1#2w=|+JUjM(ly;(W8~0N-F+eA#JV-EbU(H4NVuH1YBP?f?<2R@>Wo=0(fv@0m+p9%-L)?LX_^TCy}nvp-YEs%KgaZ8U; z5ldzPKD;NDLMN48d1bu^=*vDJIs{=W7ta0(924liKAU)J7Dy0Q^Nsff_@5Y=1c(ZM!eM_RxK1M<1{XjQ5MMxST+bHvG z{<|85?*nUpVj!!|e8N|~{dUh#|CEIrEBaho*TDyKKl1xp_gIM#c`}kehc4+;S#_~M zmZQV)dLaXAUhUHWcaSwf1w@=gVO{&Tx+kqEk zA6tyPzERmx{WJEm!YW_1SGU+}_F};LB>HbcaoYJ>#C1Lv5X3R$s0XUed}}mjYwoHL zF`;_pWdGvYJy1B<2P0Tx$T?X2h;tmrdQ*e^H2O@Ge#Wp8!X-N6iCEp^sA43s%1AS& zYH1$5yVI`Rw43_A9(QdWdNETBwtR%qd|f^oDNj0X-d~HlR$OimS`UP8Hzi8FjUC+7 zuakDPF`60Ot!tKdW@fBj=H@(io*s_xBXAUD%nW>gEGv{-X~wP}c?mPi-K=_DW`s|6 zkDJP^Ml*#op-{Awmk0nDSMO@YJJ!;hLDXc+gx{^6ky9T>=cNiJXj9KryY<1eh zie_v|yUutDdEN?J!|TNgSbr{>26cqPa`KXpxK@N{(ia4{2<2}WVPGfG9m5Z$KTkfJ z_R|s?1vk!=Jn7V4;3#+_vM0)~L>6LYwg~qf z7G7xhTJCM1x9jQGRpeUbZ1RhTem8n71#_OiyAmj7t$%5r4GJLaXr7@LkpG_UUR*F9mRxkt`*yf7|nJ(f#{Dl7B zZU&s#q&+L|l0c_;~UX%p|t<{$%)FJ>SN zvaoqwk<#CVoJcHtQ`4}$>YqkN?X6|indp+BKXbIclH-)@Fvf_LIMyX#Kn{CT zB2|2|PSCGNt1muVV<#nbW)cM8=wB<*!oc@pQBRR>5GUAdec0-9{9Q|sRqrq+jA_a? zB_n6CfJIL()a?P?%_S4ZptxjontDc_( zl=x}PBk%H`S3S26y5RG0Jg!YSiz6M-0#_&o)IB9nEF)KpZ;7Tp(YKy$cHzdBN;4Wq zjbY$tnMkvz+m(sl=*F>w(-#X7AMi3s4)7dKKCFTFsQF7^riIAb9c3U{8_yngsC5N1UHK;Q+H!A8R%{ra)`;s#@n#aZcPbT^AD{(yg^QaP#u?GhZ#Q`FubECPifiSDSaQ+@x_>kT}CV*3=+etNE zeih|a-|Uhbl#2(mq>~J+3n>0-Z=@e-0fkQ$AkUP?P0Q~>JDgKGl#qv9eN1l!Z|HxG zT%q3*=FE)ygjvdZPRw~r4BR1eIT|~@Gx-p65l{Qp=+sDl=pNi-?b{P@)%DHCG#8&{ zEL#EcC|Hn7q6U+pc%;7qOf6c#mk*t2RTKC3JmWe6){Q#`G*ydI);e8bW$=krjLa_2 zDA>0p1t%TFlCH_EM6}r{lV=z?Mg(mH@$gSrLwe;HXB~R>@F~3_fHqWEIF)-P-{=O5 z#uCN1_o<42MKgMJU4{M6 zuL*;I6tc=9P~Zs@AWUI>PkmrYwwTBaHVR*6Lrj#&s$P=m*KimEbP`qxXKCR~-=WE! z1v{4uNz$JZrVV!oC~4waXUUPgoSGSg*3jmt*P_=c;VT z8Ss3NE4CXvX)N(JIJ1kc9K3qqxr1^Ao3!Y&dZmt&=Q8!ts#7ER>$bz%5)M{=g2Y8W z24dVLwl#~hpXH;N6dXy5>HF~3jkIdVDNQ*E5&S@pqu)#Y7Qdg%&x}fE8(!o89fudm zzQ7w+NyE~%AEJTAr<7VqZ9DS1q}L~B0J*-z_{CHO$uwX8{v5v|Q4_DKBdO7k79Lvr zgT3;sRC~yLH#(#xN$Zz}fNW`yS-S)~_#EQLsxDRuI?f7s7q}=L=bf$O{R^Wuol7%H z2`|KGBJ`1G(BGa@0?|=wwHhcQ{TUuu@=v&&Z%PJAGYt`nTNhk&PTmZO2V!agCAB9Y zW?k0BS$?#R#{>HUN?y`126T!^AUkmGYI_*E6E-o4RuVZ^3Hb$23~V*y$SwUS%TJw6 ztN7EPrF39`bV56;ao#b+4T-YAEh4wZNOD$F=n<7okU){%qDa7F`=wYpVZE7(B6Mde zcITSh-6*2G5#pv{y1(JU(-pBYf|k>y;k9Fl$*C4B?8xo8jw!sHgW9{~0y~lKLu94$ zs?8njP8<1vz{l%v{PpWwJdGai@Ma*_^Z12FR{Tba%FvaI6H;@Ww3*8-16CIgzDja z)0Uy|1@poUnr%u;)Q{Z*Z8)6|p}h*17yytGwRB6x82rAe*s&$a6Fr{{vN|bz`>3gZ zJa;hNyCDO#n(X|-m)Fews!9jghD_+1ePQ1T#&n?==bp0ZMWEJj+Qr-bmmvfeH| zmj{H(8IArcn@3BhNT@OUqDJ92M9ip*b~VK(0d&dL+=2!N9vnvC&JntYsHUZ%tg7Wt zkwyG)JzqE($F@>APft-33sp@6M>!ye;dOyd-x0f#Ry-s8;^HD-)<=51!_C3;-;s5}=dgI;^^@fAR&}#&t;N8*jc#+77G(>4d%U z-B(fY<-*Qf`8v@zK{Wrl`%%pOl%HuRaM$;bi;{-$M38K-w&qY5xB|W@osIdrYI2sZ zohuzt-%(N{Vd2f#bdE~*Wp7r&TJ!|Ub!OBv^d3lBe~}81g8D_qAvidzO+PtFwzydY z(q`IpHAU)4-fH}1#jJ;;O|hf<=uSMczWN(0IZty^4l(hbehnel9V+@4gn>ju!X~WCJTyu#o3*mYzBv0Z+o|`{xR{d{jUP0kX#GHM^@kN3Z zm&(+RmGXz1zs~4Hj*sv+tIRk?Cmr$(@a{}EnTdccZRzqkLM}?r3sI_=&As-aVAf8g zyQv|zb^+9BXM~Lu0z{Cf3ALjIZ+v@h~`WvYJ2(-r`|*AWk{RKDj_bi zM-B*FMKdIH>lN>Ka-)RMbP6}-)i4XJN~IVQ6pajXmoBj^`iT!p04X`9^6?Q{x=|QQ z0EBf%eY~Ali8cjB9$Bdd5#1Yw52dAbR@}zdfb6;>i_7I}F$twdA$A^n*BcwRpZs!l z$EuL5WV3dX2A*_g9nKz&n(n;EgZfFDu`f?WQ7dC>^>6Yk1Qd1sH?>pDe_ysFNhm0f z=XlOwwmfWo+=#-O&ZA^%*o1hy{j^H^M3rz0c)LWjTKgL!0={&H6=wPOZa&UFzYeC0 zZexEvc-gi_r2C`heYzfuuH4e~d`JE^XF65Y8XuMtFH>|>93T!tNSY3xCFyb`{b-;j z%)Vv%H_=pF=VYDSLq!MPE&y&UWm!{S)! z-3?($8#u|X1Pzkn&IM zuvzHMJ+TNC^9h`fp|)&_KpD(Rn8fGg?%w+jEGI3$JG$n_+X?|VG~y+t>W8zc-ZSoR zX2X>gF(?h>!9Nf{x$Q3jCm+8_)tLx;qb#96ph36cA^W65pC^f}!U>JSjyXc&WoIs* zxMKY;1AeI?{z;1>2%Sa{cDyV+xTYZhV-VUY9bybVV01ikh3O)K@5t@$NU1 z&Bz~*Q{tl6pl?%WU0jvGP8zP%P9%`9z0J9;I1qglQ#hBGsCsRZvdwFGU}){NHCouw zb8V|lS5WvDy{7(edZdv?G-CqOt>*54?Rh~=4}reHn=_zpwU^cTi)4U`5oUiP@C6FI zqBN7)|9xl?GhfP0>55gLilEt-4)Ifm_BV`Qy3@^x1T@ zT=m&>Vnfu^6_B$fxmDJp9Vk$|Lw^x6j_Fwvq^yd#6jx$ z{)Gp5-qa zYeCFQPgjjeI93VHgX=UN_y|^n-SER!M?uqjv$-8k*V7_@FLFW<{3-ybGu2MI#=TSo zr!WHJB)ikaQ80Npthz52neW#p%(a^E9&`G~_ctDgLDrcAX4~{ldBg%GdO)n!h7#Wp z|C{dJOP5#o@rUNU@Q0rt>VN3oEo_Y(P2&6I1{o1Wt|dMOw<|^THXIW5pwQamse_$N zif!xctq-`tZXer3Es)kye9}FZr#lu3TLxDg6kA2~3j6=~;?hBQn(fqonxjC5p!XT!~kgkRdfQ=P|XSxyij~%L=}_HVDR9CK!H2;`GK?*m=v0 zdSKB^n0N8raXcAw0(bxm)ph=kNFuJbxG& zFu1Z{N@^pC?A%pJ^U4CCAny9Ry|m-Shz1LUOWO^E&hx3Ir+Po0D+rCH@FNoe=S!pK zZTyZei*DPnjj*dWj=m8wqo6tIR#WSE#vy;$ODvI&L-kjS--{2-{L~0OU`;A8wI@Z z2Atw={v#Wr?%M$&e*Lp5UGMbA7Huf5suD*)fip6m0R@bVu?WI4<0C~fOv~VWQhtym8$E8;q`C0;rvXCcokOX1IwU)iq zVbgRH%|`5{#-irhY<<&ySu9yjr}{F3j`P`GjZv(wmZq{QUq^%O*M}5nnhO1u&V2hz z(X@wG?AR0lu%`GeV1}?zk-KTQ&c%gt#hZxj;*~2H11R`y`)d7}y0?2r@ty}cj&rtt zjtp0=3~!O0n!d_eu5tt_TJ55Y;uLpzqV=~3Qp%KYwcglvv};-k3nmhKy#%FQ=LmdQ zS&N*!q=}W4ePxO|$wLs=0Cd8HKWPJ78wY!1+(b}eQ^;n^#4v;wcHGP0O_Rt(VfqqL z9rf;Z1{!O{yJ-0itbvn~WQnLkq>xtIX`+bg5yaR+!Ll7unP@^tlU5-9P%tB|B7U4H z%{&12?^=~bG&CzTn05_N12LruszE3qpnvDBqvfq5bOan;oxvhrxS?b}4w_2?hr3(u zIi0hxJl{s?{UC$PQGyVOqg)pRQR0(jt^i329!@Hq`E>^ioQallm)#LIUi(y`?on$m zIaP^^my%gHboLjQ(83z%5M_IF>M2nRl$1^^vnJM%*H)eeeJh)jf46yt26^j(F~nxB z8SE3}0laA&IXQgR=KQ9=4xt+?II@eBa*}XaJWmLVXl3rY);|q;w-T&TdqVN2X-F~E zFZkNO>*5n0VMR4n4v|xwQLM;jEw5(J?yC@;4_qg~!5s{3$JMW7csi3aIY@bF-zUF` zk>La)!=y`cDX@cAVDxW|8z<$qDVN{{iZvm`CwYrMi1V+xi5Qh&Hk!4^;+_~akw9RH zo3_WorcCt{VysM5A1wYgb`*Z`NC8*~cG;+HET&qNm}OW)?ImrTVY4FjpMqPLHSYAI zv)*?IwY*LE?Kg!Iuc04s%$VC*QYT)nX0odSumqu6kZmrjmCrUN4v7REd~~g7v^Y() z5_nn1^48|H1S%z_Ved+()7MygB&G|N+lW0do5@kf*92{~t(@w4c`2*xat%tN5}g#< z){My6TNj%##z_Kox(AcTLRIM(hgUf-Q}9D(BW8Xk)5xh(J83Yh4V92l1t8BvOBv>o zCV_&;Kl*EdW141pO(b7DyFF0KF9{LUZoF?}#$ zwtLiSmS*_`9s0m7wHQo7`4y~DkxR2c3JINDqQH*`Po8Wwq8U^{P2G(ui^uAiBuX%< zM6=VHh4WI@R6rw`n1r~W_eQ?(Ar~>`Dswj9Y1SwS4TwZLt;J$0CKTm)>5;!Uv1plkw(`U>oyGrukfg6~Ny<0as;=Ep%w|%tW%|YJx2D= zsillGK?*i#YA5JDkC9#(fhMrRgHV{B(;jzywtwzFEaQdn%FmEX6>_StXIS~uDB!%J zBwCAbHE^Qe*TR~(49sG?ejQsp@scl1Hn_uZ>VGMEQB2vl=@+*KCdZnEpqg_m)v{z5 z?NBYnAFCA1VA!^9#mF-zW72I7*2Qvd4mQLBY)~`Bcku1kt?LS%|na(yf4HnQ(;*UgJ>WZ@@n6lvjaRM889rn72F^TKj`z-)6b{G zeKOBjmH%qV#|n|KIv$Q+TOF=<;jSQb>s=H)se&{y_@SK{7asbkya*WZ^L4xP7gww6 zw>8=rN5*~IEljf-rmnHZ|0jvZz${td%>P%!?z|057k>XI61mEg|1(Q~``8RwkpG0l z!H_tf0J(vt+Y83Xh_P8j(lT)QRDl5XwfCq@3ra9kQ+rEpHlaE zUln~vAEUWmLaEYtvex;|$<6Ylqf4cc(>Gf8bVVKG<-2OHPY`;>N0!f99!kb6GFM;D z$K_*gm5QF>B4p<(RiN)(SDyF5p#dyhvaO=Wi_gRCCvz9Fj#D%>@rgmx(Zv1(Rky_*fvKU}wW6iAY^lAWEHP8DE z{SkM%pAmPlH2^*uAB$GbdE2wNrW_rM3A+c7-^dDD2y1I$Og$=>-WJ!vK?|R^XWRXNDOY>Tt^PIp%Gv|`(SxN=CJ*(M1KHNsdG-);yEkT5F8!?R ze+Wqyqf1gF(a}G;oTE)`w7;@f3xDj^P@0`R&mJUk`;HXVTr>Y*M0i%Ef*)=JQ)Frxd#m zA~n^d8h}$>a>l2J!i|Syv)06-h-V<{`dQX@o9T6Y);OaYu&k)muy0qtay!jZ3SmMMVsGo@G6v9gvCRBd_OVy7HW#c=rS4I^-PwUy_?JS(Yz!ii&Y% z(f0iTy?zAC4GuqC%I_8_axZ1~=Gp^UGgq!$Sb!RUR2@*l)`M*3YU5aPQ)iumdqTbD z9Vc+Zm!F9CFIY;YMGF3=y6XQI&aEb^boQ z_JE&4N6wa&QkyJhJH6^Lr3;r@^Io^i_)m)zb{CV!r21V|p|Nxs>6jWoL>|!E`aOvE zQaNZ@ah(07q72d%{K+G)HFGFu(^4Za|I^L@aL2=IF~d2}{v^H*In%hw}TIXg}Y>$gSkJ_PmUX|(OXyqHL~FMGceFF|pMqJrlO_5JejlhVk?9t^*G)!Axjut;0& zu_)EGveljP)rWCiA3uy94ILWK`))M`^4hogd0X3zqNzKznH64()8}`Yc~j4S(>Ogg z7SB&V*IrI9vij=_(-kkaes>3jU5YPb&(29Cm+fB?=Qq~xt7)n1MpLAh_I5G?_r=9q zWGs?AL)u~A=c6%>@`++x76kLAZS(Bjhth?3aeHDs1_bl#U08SB*B0xnyh%-iU5cDu z%@kABv9*^!Ta#UFIs4MmS(3(y%X=}WuenVR09A4earb|xU_CY}ZV9Xvqi^xcR~~OT z`s)*NVC%IERy7>LYA?AZ)l$roSyw)#X)i6s)n-3VEaHSn`)tgc&i!D!729S0*V1I+ zM{WV(m8*9s?Gzim!Ws52g?gfM3}vfx>~Q5x$}?O3p!o~-5o-I`D~I8-R*qBs=YL|_ zX6@Q0z&$SiJ(KmB;M&Cjr~cMbEL-qnVI3!1wZ}%CYu?wU_|%o7#c1^ls4yXFVV8O6 znCQ#U`q=2*+Zuy#W^I*z=&84^wh29TWNT&GoT9sN!Igf|b;Oh61+FK@jw|mD=JVFG zhok@c&PW2njS)HYyGf1%5pz(kZB zphT(ZYM!h^NcRh{C5J_@%aaW^kdsM`Eb&B(SwpJ^Ku1$w7co$>B&cnBjL~c-p2CyM z+VI2`sjvzq3^PsR;36VVHBnRMg^H90%;VI{7|0_PRo2C*u-p3AgNzFHMt;6p;LkhY z*pH8bj5hJJ?2^Tm2Ym4E37eTrBv6(LY(>}(}4OshDu96 zeSk*4OG-qS>PR?X=ql2c4)?m6UPV}VBm1j<_g(5<1x<7p4%=m*lWib0lt-hZvruqA zn{RJey9<@J1T(z&AgC_gn$)O#T#R<5cMJ6a?*>86&7XAj4~uKGx{*Em>Fg6!MKvc zB)=1*LqiKxSFCt9cuKrWH%U=+G zt~;beCX;J)m=#@I#IK3eEh$Xftwuu2e|cNa)UFDR^V9T-J(4=~fD*14a_1eh0WS|Z zA?0NZvi_lLY;@$H&X~PIBrzwyRW*1RLt_=oTZE{0T(Tu>`}imRlagv8cGck+`UVM# zyVPq@F#sZf^#eBTFy-{HjwllsB+?b?P?}qKF^5O+Fo*k(j}WDG1~#dCu>6;TRrk6I z&9NF4`wyjFXRZji=TH=NgL;~Oa}I>Ob0@v{218DrIlB2FsLb` zUn?nV%h(28OxNf~K)NaSq^Qx+ zbG+dCvw(Qp3L-(uM|$3zD%&B{gt{#7>Gqo$FD`I#?B<59a3SqRuKmeLLe%TjgvkTJ z+8AVsvGpcinh1(Br{ter15@9;Kzc?rr4HqN35Be`Y9GPUllN8*<(-BSMR+#G_r4O@ zKgt%lu|T@Nu}Ggo%jJCd>DvOu=OB;X^UyV{E{TeC%nGOf8t;6(xJ&Z0vAJ~;(gq=I zl6iWaJ>Ze)^)eLeWv_#I_xaK)zRw~OdJ_X3qo&c|;&eFk>5*r0f{f5H@Izl9v}NYe z1i_1aeFDpOB8cHWTbPTQH*$;)t9v4t+k>Cy+6u9&{8w)p4&V8w<7XZO-<87~f7h`f zhgY@^JxkMg(ASt+}2~hYwG;FCT(O(>vb@d|VPqe%7?6+;fy(+;ca6tydEV zZ@9cx`a-GCj^J0V0pq z!ytci|MdEZk~!GU)zgKM^aJ^es1~9JhXik$$ls{_HE)tC-p>!n521@$y(S7PQnJq| z9roD3P|IJk;D`D=7N878&TNvdLb~a)p*QCdy=`43&J5 zVpN(G%WSOvQL=KC{V&MrOQmvD5o+&hI&hA_I9O#b%yV)3kyI%*LEJG2OhLt!z~Y=J z3_U5PvGq4pgSKJusNO`?L@B3DIOC%t5VnKuJRZtyb#&Ktuwp9aE=~3rx zDULROWp7g!B=|PtKgkYcJ36_FBhUWQ*kT!fQXH`%JyN(x;DRyukFM`9v1ksX(C=FT znBnwlf8#CO6Mz$mx;O)fLvJv__prz54y~9dO{v`Bz{y~D>whI9Q|PJ+VoCQWqmHvB ztB+%-{%s@BTj>84dh>N*mE|6$a5|I(ER>6Q$ z*qs?}n<+Rgjxn3-)*MW%PA0I0T#j2J(58(*Fhyn%hH*8uc;}GNdQ|DA0HkF_ z!I!Gky-t`+ECShPHGxoS)qtYJ02rF55j9YZ8E!#fHRK(siNnffcMRx zZ`D%9-9Uc%_blaA7!|V$Q!o^<3QO?Hn8M&&9j(7ss?e_Q9 z4)q^!phcqEXom1zX7E#26#CXgsE_K)sOQcb z9%-^0=nV|J$ld1)BvniM;zvjrsM3_g*cHug zjYy%^%czxo`);6^clTBpnl{!GE07;sjjU{R7y<0--$(@MzeiCuJ< zJr|};%SUAQtY$1Li?%JP5gePgK_#fJ1@&p`&o-donvHEev`W14-blNr+L7tLEkI z?Qrs%08sv^f|E$>-1?u;6ar0A{#k;VS?>YmHzPB8gq>lLa67*VOo>+CyD3PK*~zP- ztjgFWqk)DoTs0O&dT9XKH_?F}qyc9Y7Tc+8VMv|2$-I=_IZurgW%^hP)c796K_A-i zc3;4j+|gbJ>#_-Jg)=V*XlD5srY63@vqH<2=<8Ht-rgVdTGj{t$MF}7gcsf)pC+;o z!CJp-Gz_6y)g21VkL_;+_%>|6IP5$b^~aRbEj8wsZMnNF)OVdq7ioZ>7B&9RU@tA2 zo$rnj%$_d1w_yg<$D-0L_^&UUa!_B>a`$JjJe<0=XuAW0MzGrwl%6`DTSvq%Bf&1@ z0ETMHt^@yXE$RUMddiNoz*@SLK05TFcY~LaK=*#oe_8-{HpqXP)Z4Uu]sgEs;{ znFAp`yn*sEr*sPs>D!>v73+V<1Q)51LK%-UqupEF_Ivy34Maa>uY6*it|NuU4^em@ z&FPrn->i$$66GgLz5Uv}hld8lY>YSBSQ*%uDR$e7cu`(p(@#tE3KZlC9z`RoiC)LfBrb2Z#4(qEr&U%Z!;P;@Kv zv&A!ZU63NqBEoaM63>M`C0Bz@{IbZY)VS32zG@o_UT#C)uiLU{nyFRlO#En4vGatL zYIt8O7qL@FecgBesBFvGGF301{Hn%jQ+IE}pp5&7p%OdgM9bd!cyBo8{$UYsDFw3h z7N46>$|0ikHg`5rZ!n$9GI=^_;r}r9)=`oCO8+prxVyW%J1p)4EW5bF;;^{e;)C1b z?(XjH?(Qt^?(cl>BlkYPzut3_N;+Mq(w|hNIz1D?ke*Wc!EXhydVJz5)iO(_gJ0Re z=cVPs6#TT>Nv?mn^T?Mv_2Ly$88V8PET6;AE9%wA2r9fRmbOWs>``Sr7%&&CFFXew zYQ-gb_Zq0*;wBxE6g~n$nk7)AO{{>v{~4|9MjWO(0L%KPPB%t z(RC`4=oh4xA|%(}G?dLbWhCfzHnvErC)4Fr9XR{&6Q*_MHNF_=vK3ODD9SgSl)8ZC z_q(p>a`M=(!-bD8fM3>@Dw^%A4Kz8Vr2mkri%>gnWj!{2rVjX9jAy4<&MwSZ!C7I` z&fouCVg?aoKBrYGj5}4F9b+==C#Oe5Y5~W+IbXXqQQ_$ybLtN%v(q@5R3Od%POD{@ z>2B4pDCTzdR3SB@9ELEP-I&CIX^hs;qbZFeJXcB`f>V^TOerEeXZ>>SJLzLNlhY^E zq(3ZSF@owi>Ug?@MSoN1=^Wtazn1}Y*B*W%**Z0xnCrq8)CE8G7(1~og-{~?*83J6 zv}KmsviCiy@-9!>G@T!?dpipjDSl%k+~l>`pidXyN7m~^%nWnY8jvdnsbzkw7aGy zUG#fc7eCR3kHwR@Pt{vtx7aA+kv|>4%^rFR%m$AkCV@74zM8>SD{$djm4@QZYqs8hK?&j#jMUAc#xL2o6;z>Km4A?$ zpR-5UCZ8L50sU}Z3`$j!;N3VIoxSXiQtN`F)RW+vd9=O^Jvo50NORao9&P)1%s+qLUDK;el-y`7>hRz8!u@Eo*}z{DOqSRs(q+_M_&NM4>}aIs_$AiksO<*)qh$ z*F*Pue^hdGzh= z4VvA3!#{?p3h|xS*Q7-GRW=3hMjtlR`kcPmIX8hP@2l616f4z6H;Le}7HQ)2c!&dC zK0>IG8Rm;_r(3C4M?S$Ly~uL>lP)jXL(h7a!SiC;EhaU+BnkQqe`d{=xeC^eIy)SJFZI|6(?ZR` zCMP5OpGiU-KH8UTDuU)KfqW+{9t6?&bDL$^u6I$1J)%UTuF&h5_6ldK*O`7+xz@F( z^RFHZQM)yuuWkSwM{+*RE)2v)Xq?fdvhciSQA+63*X39Cd41@4F|V&Di0?ApogeE5 z_H3Wi`vBpfTef>w@U>S=y^whksI%RY9cSrx)owS(#F-tTv^1V@>VS1;amK}C>T;uA}mi&1apRc(XqiUEAhovqfP3%fd6 zdRsx&290$RCE~|zq+hHa4#C(jXoV}GdfiS8R{l|svMW#UwpVzj178T2D>|1zU({;H z1f8+lJUJ^O70#A}pDCM)=~N=^n(1%pK#(M)mqpym*%Hei*KrD z7K`(3lMTNQw9W|Tb!cI!Ex3Bm9fR5JL@dx>tVMpB&OacDWeY!OBp zxu*QUM48O#<*hwjI%H0(?W%=`6@&t9~RQx1~;2VR;+h`ihMBWmW|GlPzi z_)_N1&Sgz5s!~nd+`5=z?8x@SLQ`q3FG8B@T|uEpg_Z5a?pI}Eawwe#u;ykpq#p2{ zQX}DS-|79bjoQy8+#CS|Z$dB2%?K&#t)Ix*oqU(=_5)gy))`$oieasVI!*-8& z@7f2>c?|5q7Tt#rp#e_uqAAiBd5EQXD_jNr?HoSczzi3}XPu|`7KVXqHm>my?-}2O z>FQWah*5ot6iAM8=}ftjLod{PRpuEsVi3BHt;``>2M`Q5K_m4R{STf3Pxe*{fzah| z5sAWF9}Fy75@_p&BebN@`n0)C!CYwZLiGsV9ee1dDRjJ(**T3xg>qw9iNa(KoL}H4 zVt?R^%?=N&m0@Rmu;$i5V^hpaBQdP72TKuRt@VGM$JUa#!JSd%BJ3F;$MP4~H3P$! zrok)GMxI&F$1RVd$anWZBpznmP=4b&SHd8VRT;{(^U8CD4}Wq=gQ2i@N(UM<&8*W| zJI~h^5b|ERNSFb>lFkIR^mkSieJA{(6DBQs*cLC1uMH4Yw)t4_CrB~)tMh%$t6W%c6abAtkuBiO2=Fd1AMz5VVTl4 zW9i2nM%Eo?3*FshRT(j5fQBlLg#lu1LU4gq5RSd-{QZB6bk+@4`dQ zt8A0+&9{0wSmLt3AVRa5Gl%eKX(zkm5|s&%%q@QB6ctc~W*0D&jGP*2s-k-r;+jiS zs9l~4bD0UqUVTeq;k^a~&duo1r-Ktw=N6iCVCzT&dQI0=GAg&*De$JMg`ZnqjymG% z8chTpH$B_zRy=FZPHxGTN%ogW>vIm&%TaPrsNdGO7o?Kl?{6Tz!tR`822+MgyZFK+ zcuMR4dc!|S+>YBI30dcLc|~;;?djEFGJCt7`bgLA%m{A{kF8BuNo&K0V^{N`_`0nd zmAt!!Sau|FKBk|Oz8Lg(9n;us4)Ui;H$*Iha}wx&es$YBiC&Ie3+|>KCXJ)OgECpa zy_`9J(e-#z&6xm(AI^R_3sVQl61)w02*TQQPPEK~5a1y?97&-luO-u6&ji1E=|tu4 zMH)fTErz`~>(B&Ej3OymhSba)z;or2**s|;)UD-)t5WtVvX8U=aQ_qInPIPB_({j} z^ow4!ge)Z?tHQZS)pknf7(9j9P+TC+!1FC2PpAdFC}P)g$~DR%1wd}Q{to_^hYB}% zOPBrO#xJffK;SENP)QUyBJqu2@+vr&$<7uc)J=qqX$+A@v3+eF1Lw=@et&^eeUS2Z z(KJ;4)s^0w!c>IJH!JfXq*K~_4yL2s++7bqZZ^!xvyt=Z2hSx`c=DLu14Doqu<54) zL!!ePm;XDt*M9SDo))?^9WzQ#%%BFWpi03=l_JLLAvkgsmmE~ z_48+UwEpwTU2GpYxFSJsXByFpmipPPz-^6@x+#?)-h@0$8}+rx@IZM3l1tha7_zKw z$ZgdvZ4!R+iD;wsOmZDrCaeH+t$WyjEc)H)r!gB-&;yeVnGZA@DcmvrS z^H4gEQJ0>=;aAL(HY|cxoSE_?t>AbkE2P;@Y8o0cYg&5`&c4u(LK*)P(BOwDJf^O; zv}t980Kp@T1e*OHr^46qPwBU5f`^yu=TyJgukH6pb_tm#o54a`tIB977`fF+A)82 zW^#t!$i=cjO(c|5lSh%AE5`}EWu|K!;yz9NUf~$MFjNUEp!?-riv5dnm}fJ zf_k5W$K=l)Gz$Md$XV!i><1O226rBS?ZFug`dxyt{;VzQ7(RtUJ6YqarMMYHT<0Ps zt>`cJO<_AQ+u`xtzxbuNIA1(}7L+z)#dK~i%9zeKiq(~KIo+050)9RZX@$hx-BXLE zsHB7On3$?Ka#kZgm5_{kpw6rusq<9dH8eq+O8FA_C34C9zH6w>X~&D`-2BVyKk85} zch=8d&eR$a{v8kOgcWmoVF_PG*BBx$o8!--b)>1L)ssa50y}VcQp}2)?D$mCpFNKk z{9n&h2{p4V_FZiiHn*w@Ck*e0VmPo)dHNgH_1v63xJb%IoUsfmrw-yWCx_=|{CR=K zB{=J^+!g*45*pIzm?Vfnyk&19zSoDvyRLm*b?4%;zmrM2!#C`ZXvw~jUYm`t{vY@g zMK7lp9aFXSZ(n{j()5_QfBEcb|9LrXshz3oKr*iV07z1p5Qwz79QSy++1#m|0PW|u zVLV&ndLmdw;Pp#*LBQsg@e9lz*ZAbAS|$+-9Bhd&J_eT?`J{GFIQT7E+H-U9I;t^e z<_R5vaqh~ATuUx~WRXXi_1HYg%Ix)ox!?j1)mnjVnQFR6p^h&%zS8}8GYbtQ`NyR_ z?3dea>1`}n2N+oaC~K)g`M16$O|3JeJxsrB0mQk3*5d1d4E-^DX{hn-tew^8?mcTe zZA_zGpCVl_UPjebH*32`$q6Yvn?b9oxA@hgxI(O;;Gml2ELt_XV--_mz*LQJlF{2P zCVg_;9E}l!Rk9AzG|ra0-I~F16(iV1y6;MEWLM_d|?p*NdP@VtRRSuAq|l|x~1w#gSaH~WdlIMGxpIIT?38!xnp zuYG5sDstamVBk(S;7*6zluM?2eYVN2OMfWHDr|-)&lu73X`3s`D(FQm_@vQxc|0c$ zB?P{c@B4(a8L*+-o-ES87RavOJHc_0l?_WTJ!0Gyp+C}iDJw%xn_dSpQ?dO$S)T1n zb8pUbi?|`x>vr;&e%VsijihkY{^?F31&DSCE+yaj5BB z<#19e@Zcu2O$YxN+YY?i_(BV&<&RcBn(3PvRvYdXf|qi#YT*Jm(y!{dI!O#)nA~g! z3B8rO8VD2h@PVi)^5GGe*W1vs7+LiJ{(qO0^eA~? zIYWYhRWba>INi;}cpybrY49^&{l>&XCs zK~Nlm#XB8iX3-3z-qoiP{x`~5xx^O6pa^S*L*I%4eh+W5$(!05ISgt&;o_OKI+HO|vt#3{5Q{t#@+crchckJQZUT~7)AF9`gT~`cNpWW?`TQ37{?3Am? zI)P-0>oFK3ByJx+ZdP(VGLSrU6HRNAhpd*Lux;OV>M9z%4M^siBdXGPl-knfl{3(k z7t$Ry>VH9*%Na~~(~~It%tALH`h)9_L^l%<8)WvXK};AfRxd3XY>*do;bNkyXu?d0 zP@?N*0?VCWfg*)X-|-xF&|MSb6Cw>_N&Nhdk%BbDZt4p~(C{IysGsRyLumXWT}^h6 zk}hWGc+kx|Iyv;revsTz#Ol5gE83^>qyslGXV>#NMhKrof`bnc)q51i<($)FbD_Na z);x`BhY3(moM@fiF8dPFdpH#ICB!jS4F(|k^1Ph`cm6J)3au|;p9;@R)vL-Jw0;_e z4o)3(+7y5GV=S@>b?3%`E&@dn{kN1C8h1rx;PfqUG%El;;4K?7n-GbrBkuS)fDl=SnrG9;m{b+P!o*z7fY}mHX@Lc^^z<9D z(318SiHKb>fhWBG+chD9wQ*445MbD@nEzgt=w|ZM^eh9$k5bzCqs#;A0Wezw`+I;a z#f1rg$J(dQR@u^fX`5xCtC?B62cOh}zVnjj58XN4F7*YWrgi&6BG)cEpRIG0 z_3N{?!^bYWYd!>5k=KW3$j5cxrQU#vzfX`&TfRfR8LsVaJ3RsyEw7^tI2Za}lSC*R z!kgyNN)b(i1Qpk|R&Rv@#9Gbgnb#qy7?BrPk=J9?Yi+h2dp$I^LN2#Yn}=KWhc6S{a0vLN6*C{Q=w;Zpgsx54tWo$w#mH@8Q__(@X!49c= z8ETHXE9d#rPJ@rcX&HPG^!50WE_r-xT${-z&L1y(97~f^M11XCDHqekTMO0zC8dVe z4Aa({$Nt||LnVH?ktHRI^G;SqwS2himp_?Wu5eAXD(i(9p? zST+bwjA#YFm%f}(R_3Hoc)#&YKB zR(82XE&qzO%c?~~(a<9auf6r>8_@Xsqv>k%oW+4wMM=qg792;29J@Syu>0ha`rf&C3voxdWeNr|rpB4` z`qsIO`UT})COx=g6^kXg_frMVXSe;aYo-&q_{d48vX%2$+17_A)wwr{x*;<=r&R}4 z4BZn`Rhv=a;@7P&pjv4c!4#x8Vn8$Mw zPRChq3oVNxITTAkff>(xs#1#%vwd9WoT_fA14f1f`ymRUU^|J$D$~2abDPCn(~L0+ zF~7rkk0!HU>w<(wDM5v)75|i#$|mQ1zzBe&;@W9-51BZ#NH6Yh{?=f9hCn17e}+>I zFK8c~!SgToGsi&SvFU1tKz<={frp2)c_YnSrhw=Y*sx=M(K*|KxuQ#}>TwQpK&SJ$ z%L|fH)sMN1d69%U@{rZH`_y!Eo?`(&NG+xW&Xmm5l94;Hc?akQE!xn|AIAq=6-FHI ziw$%zuSx|$4Xqfe7U6Ya9mnDGmWqvSkpP^RNRHQU9RVkeCHh6WBIgS*(dQzqEbo)5 z2rjcMj%9Ol43Xso4_WD1LiN7EonB5q(o+=##_sw#GZtG~R$A`H$Ise;>~o{8tr-_4 zFBAFUYwOn?Fv@yqfMbbO%5zf>p%i?PxU36guI_yat+Wz=hYP-Yp=+zaaY6Y?&gSgN zw-CO#tV;k-vSfw4{?Xmdtc4;EX}3=9Dfv~lO(}YA#mLNMTuMBZvX=3to*oVkt@7%% z1XXFW6%!=+ReBx=n_LUluGBQw3)TlIm7;THrq&?@Re7c<`3zpG9CNQ^nxVK8y5yd2N5}<*Ing5!W<3F2u4d!l?v!xbeJqZt%izRY-bp zDf|j{619n!Gow0hmCN)O^gW|4HA(6cEx|dSAnyQI-rT&q0cai{~X6uuR^a+&9|m4uLl|A~oO;_x86^hUWqkE=kmu+hOnS`D65@wwv9~ zVi1gNmzUQ=rl-EsC()G8WB{jwRrJ)l`ynqk32xWtgVXra^m&u6y3;@KV-9+FDb7q=>Klo{8fP9JZQ`_6TjW!HH|`$Jb^N#^$KAcAWj2BCrHRt^5FXQso%wXlGE$ zr+^rDx(tK)ODOH#3f(06WuajIR{}qJImV{40EDco{a~ z8kwsw>fZrZ#KeikfN9)pjEF)09`k>*)x87k0PPs*9qoYW8-X5A64fK9$P$paL=Bo{ z^nX{HwgpFwb_unXxCEE#L-W-AJOG#`%EqvcboQ_40f04JK&2$j!VSIwu7=%caJBVl z%%3v0Lz-{|dPt4^>x?qC%MED!v|Rni<&U8bUoy5;t^sxzH~c+;TW~%%{$e!dNbJ`oHP@P1VP=`zv7!fu^y3MbdYql&8{#jcpN6-_ZU!V{BJ$m?h z^gxwEgDOWL-h1?C34in-Vywf#w1p+X1M!MVL9ZEg7-(1He68>VwacCV?YrL9vKtGp zZ%RitEB9MNcz8!P$5$3NiEe#SYTjZyr4^T{wcwn+T08bvUrpdcz&t(Il51 zJ+vM@{Uf6O!NxTJR_y<6oNb6M3?1A{C>+KL4C^fq=18Q=*7wTipf%gJ&j%P{T4YpV z-x61qWZo6xd=WwRMG|7XGZf|150EzB4MVm_n8O}Jh#((BdX;y=ldxXI9gX}x>}<u`AYVAL&;jVK3X*qWSF%OaDY!|*Ow=qe>{Ne>ydjk%fXMFQrcf>*NWDyyy|_Vk`>nO_r;n?f zQM-T+x1DPY6@8@up*dD-A1T`rOSp3g3&Q`3yQ1t8@RDo?EhXE&n7(i8L&^|y#Wm48 zdDC#^75TTc10UCAtV`r1mI?uo-a9Bb+3x@7F2U6TOGKq&q9gD9hrW1%&$r^3=*h7( z_g+FPMLqg6B(5O9+Xtr=g?AXl&;ieD^s0satB4c^?a1x` zNz&-|7~&exo8GHQQa1MJ*zVO}X=eT3I)C4L_L#KeEdPA)@AY`_G==Xla6mO#M>~ZU z@b3V#+(2MwDZp`h*JH)Hgx>gvDUWF?i|NiEgfRqXz@ta<--ccIu4nhN9Y+CVi!#mK z_x`RIkN?=ARJ6UQPwP<9E$P17j;M@v9)>a`G+@5Wf#wq3D@R~U< zsiKmhe0)ok(TdTc3NtYQ-&S!{T=&-9ei2?JN6euuLB{Vf$0E{SHBjL`OsgSPrAsEZ z;d#-*xDHOaVR=dYgdO?Yw2cgWt8Zin=i4Ty)OtY!i8n!}TgUV% zo$RvN62xIATS)edGrw`OE=m!8MiFX8Urh~R{ie&kIpTt~N5P8{Z8~F52Roy5PityU zS=deZI$ivF`Ay)8l}ntrKf)IT2_!Xed`1jT4Mfvwt#Jdgm>xN@fJJ~38`e}&aunjc zbz9{Mh7p&i*u~EWUCv@aBGB?QwI*T|CC~J`;8Mf`^86WuZk@a_SKGXR*~xy2`Z<$0~&~2Y)`TV-VtFd!2Nb*V)~95s~L=KS!?j6k?Bi67x%=;l{SKoHsLe4{mu}&6>ew#7aC23W*?K;_WFH!&=V*$=r!) zyn(u&#!U-hwIE2&-u*Shy8|ZgotI<{Xuk2ob|9=K(qg@C#``^jUUlnvnshLqRaKeBH1N}ipyJBqi zImydp)GnbwA|s=a|IUW@_e&b>lC#;zCO69{C=464kO56In>ElCW$WFZ*5kZag)zpj8iZ_(Q7>bZDSe53lvK{)R5Vd!0~o@oaZbXDp!E zVrngij!g6rAr$sU$apS28Lus_&UC-H!&tqhRy{$J69PRyU-Naa()8!nvaPIO5XS3J zWo$pOY_m6V#Dt7d6{RVk{rR%6>{(JjxU3EXFr0FSu+7C<2Yo36WvDXV;lG3UE9uT? z3*cV?`5+${U<^aGGs;JMK!}L}GgO%r$Z(jQ;Hdo8LOfwb3*yxKf5Kaf;n^42W(V7t ze+66tN;vgNq;Hc*yHjN8I^v)UGP=W<1V@=sKFR~aI0s~)h@-J%oZZ2=ET7}I$@F^uBMChIEJ3362`0eB&P`-Hu9KXwv*pa?-S(@_D4;croEI zGA4}m7-@CQ6oo) zH+B!Ei?uIJmI3a30YHI(KBnw;3ZSg4Q*1LbxGu8^J}?0Gq5yb`R?il?k89TgUS6lZ zWZ)Qm#z!%5@u$C>@Fk`HX_+ZO#O8hBZd{OT#kveKy zKeZX{bGBc7mt>8f9%#(_(3~v%dm`=1y{_3Ffm>^ywy*e?oSmJxT$2m?33bSclic$bi>-gZ*zI-y!+<0x@}iaj&v6a z)pgr3SY2zp4K9PWSPacJT{J}P{PS2-Yb8^()`?(Ly483cM9t7baqQgVm>_e06HzTP zlO0N;TDv%8Oa(|HdF9(jzKTuGawGk!WhvfKiCPnuTjN}|U(=_fVdiLNFpc4_G(#2A#B&l7;0U$( ziMqzx{JW3QY|$`r0>hMT48CspTk=4qMe=}5GW2yKRfuMJpr!(msXZ`6G8tOBB99dz zN=q$y0Aj7KCJmZJKeV3>u2Dh4pJ|A4*&+SV!;TRt(eKSE|4y3JzUFr ze;#&1jHK?mkdzoR&uuegP5|SH5gM&I8JjBE13P(5QX196Y~u2mY`-33FPb{VHKz!~ zt&<2uA9l-lCt9+Z4AkEg^xr8-u;X;VZUs_!LzOBAR8vuZ)+RYrQx#BhkI*Yfff?vt z2;ZxbpB2_05oq*RDEeuJN4iF z&%Js^+&IZGfLrBdUlnDA>uC0BUg@L-`HTq}meSpv@+ny+WK{8hF!XXavk{yLvC8A! zf$I1Pk^7Q2P(D4m#|_+m9FBVK4yeB)$JgUCX2*qql8D%f#?|Kd0g`jL>FR^9pcBkZ zp#pAIe}V|J5mbPR5BNW~7*hugW;nm}1xEJ(W^vCbBYOZ^@`%VI`oxJmtlvh(T4QI_ z7i304sxb1;5Mo?3!7Rk=G`(MAEI=%Rp?sDVwmmN>gt*kFwqK!N#=&0-6Oy{T9cb>)ehKV6($wq7!xnd9L+ z*DJkd`t)t8#pC{?h%w{+hrO5I5C^@So30jwdetA{^*3m|enJ=D3G(F4J3?1pps#yG zbuZk$^ek*Zs7L&G>_2S0{L^wS@YD7c*|13U$)sPan`X-JHvN%YIHe@H3b(i!3cxC1 zzmwjKkoEZIZyibZVZc*4zh^~>R5A@@E<1yT#Apv~nE2-ne1Hf_2tF4woP>gjv95q1 zp@UR#xcKC7*p4y_1)_{~K0LA_l>M-+r2B6?(YSD0%2X+`8BTa$p$e_*8Z7+5#80T8 zn>iB@xiEwZQuYB4l9>A+rk=oPF~BVCK4qjBpj4$;ij2|{Q_KkZ@9emg6j_<+BTzFN_!_Jnu7xe-+@bOvP*d9 zXE!b0EBc54BeWbHpttQfjj%^}N4}GNIJQ1pO?EUu0OtR38TrMR7>dgZHcrKxg5Y3v zM>K|mT?ZC~4ncHs_#0U}c8;EYv~K2#9R- z!9wM{K|lcu|A)yRj>JDbpKMqb-#`7kxH6Kc%sgtK1d=Ehlznb+c7tDb5+jD)_79Do6H&DzNW+wuMD(E)@Q3mU42<}XyEH9oi+ z7(+~>tmL3hOJ|KxhOP_4BeqNSr(1*KAAK9IS#|3JZYkV=FXpTI>_ zaR{i~?kusnaQ3R1oVkraI0~`Yo&i`gYRd{0Er$iD5 z%(Cw1;|E*fisc4_l!IGp7>dD#SRssft<2d$aP3zwf)p9y)1ixw3`zp--H*!XZ>EQj zcvh*vXNHd$Y=EJiBk*V)qoBA7ih~c-u$yVp z*vaM5+{yjb@ZKDHGNvODG({#EIjAG?=X65SMBfq39c_>NCcGuovXfs&f~oqV<)~W; z@6BCjzNAIw%ged-wpGZ`KQLN`@$i^&_$cHLa$O?Ai`&6)Vs-XGPl^L9Y?0xdkZf6# zYNq|gOrevIMGYdZC=@z@HwZeEsek{Q36|Q6*&*&PIgJIg!?y`ZE*vryM>KRUoCTeJ zU~2fHe|YBvb8Psgb<(ipmaR8)?!T~v|AS=#gvCa!`RE)Ji9j)8*2vH*#9Uc*Mb>jW4-^_*v>yTw)2mT?SO1-`#&_ty7ON^{=s9(T>38{G0O%z zCYH<&AWYoQI2}v>Jh~Z3GnY-mI5RO_=s09Gl#DOJ+P%4#P5zQ3)u34KFDYX1YKZnD zsU{RYOFV2fq9*iYksy4ybCCRoJXmsnX|AS&Uq+%q|54^HEsOhUEVlTd!+s|sGFal7 zw~gRcchdISl}~oMYYb>}-J-EoRydOD)DtRJ`(gQ%cd0R3C96o<&Q>x;XZHm319y*F-wps3vwo z9V4xoZ0P5DZBG!l>%*v%44M=71noO1ds7aYz8em&bcPxsDc|eH?)vNxXn8YQEd_)& z`X=?p{*>x^3~m_%g1sHN3&b(2K6ZBs9imqUUEMc_*z7KyWi@?f>oOLcMxK}G%}f>5 z*}plI3<)NC{|ZTxvW*Z_E9g{YJ1Xet#Q&8re3dozR*us&OE6JOjXN_)F!_>4`BjZe zuJ-Ae4FBOO{xe!UEc2EHOtthQ``))=*iIvXZW}%S$Qcq7<6sG0IS^w{AKuDHVjNa7 zp3W(H8<(o9*^fNltwTFl1>5*n7(cr`tKxeM(|cU(z-5yO;qW%;{ecaN zo81dEcl$@!&tQ=6Ls{b$iyaH85ehjcsqt45v3%XZ;5q`9C#1zX%DbG&62=X)^y@*! zu*(P19?p&?_#0~ygTAFu7%VP;U0Wq8m9O#yuS`8@3oxrW3z+^Y4$fJDVEoKd$U9Wk9_< z4}rGOG}iXssEMpb0#$R2tg38>7&Yw0YYRu}flh&c`H)~AqO zW>op}Q!xlnB-<=jbc}JV9pOqBV&j51EukM7kTB8!f5{we`xvRq1l)*ogQt0j)};Un z#o4_Q;-&cEg2eOr^>By=;Z!%XmIk%h=pY%Ng;WnBBhi{rE4pVC^Z8Ps{WWZo&{7Bm}=NSfakl zPE#mbm!Z)%F2G{0;r^bD>mJ|!o!kQ{89-?su&nl_rUKE3;0s9}k{$tvo6Ub32{Q~5 zXm^43O7j>f8`QPYf7y=wG%1f;HB1El@74g~`Jevd{;7t&v?)ecp5+g(FT|{={BGW0 zxg46$9fG(8M$0ttaAv|AsG#X?XGkq8<(eE>ycm_pZA>HIxot9Ey=j*dORPc!yEw=& z(^$uB?|#c#j4$d0v}K|Pz16v%oitZI7jqV`UGytXIbpVzxe{+&<8+PZHw70e&Y zg9BOAY3u>g#$Teb|HI~NaPRz0hK#;M{~tqih&gi`-@eWjMX&!?zX3H@$4r2Uv2#p0 zavTySWnBSjiZ?FI$k|nZNh9de3ZJshIcA(nO;BcV2O=tNLq$l=lv2Va3v?2XhWG+& z%Glo^YL;mPMc!|skGOh2{qtz5SGo_kMLEW5;>u#YS&Ymkvlx8_O^wMo0poH;c)#nj zYSCq0EyBNQrM}>W8-S=L19(GLouC6Q-n%=4XakC-X6+3^<6|5aRODz)Lz~CoA|ts* z&{e9VpSbn@Jl#H{iS2qSUuP)hkKjI=@1n>}Dg}J&Qa_4uZR=ovZ!rC7g8>qwH6_XQ zpCbdCH>f~a+5U4MU~`8Kxh+d<=-RCs*Y1NzbZziQd#E-;baO^a7+O;dq5cheTnFwP za5?!&!d^kapBTefI}%t^G1$M%Jd|vUzlOg(HE)nwBjhXA4I5S)L3K|^ZrFnoYvKUB zRtu9Wd1Ac5wEV&H(+( zI!x@xqY+nAf2oiB1-E(zbUieP%qNshLah3Oh*o&nWbbq-V8F~iLwTWNdUT{S2aAGB zASHyM*_08HuU;aTbJ_F=c`b zH}%Uww-y5kG;lAyKpW?s{>D~2BhNe-jLGrznmA%S4B!SC-uHU0 zEg3I>-d_)!lJvUCVx@hk!Fb7+vH(jYXjj5@cNm1c z>VCAH-he{1Z@gMGG+3|15@jJfZLDQo#>UjRkc_={K*co`isvQufBXeYiM#3eHb?ju z+3A0|6_7V~;ag`T>DzfGbioVJROTJ`*D~+(XVe&hx^D%??jGvfmZtCYK;8e28luXg zbTF<#Z9J*-2aDB&z8b=mEVvILb1MZ^%gG=yyzje-0rYqU$TjQ^SExwWj+2F856ggx zjH;O;Np`izJC=Ov1iza9hKDWpYpIadu2qua-?Py(8xJIFqQZj{*cxcu)($vWVGEdI#-|h|_~)hc z&ksBY&h%5DS1I5>QEwjQ6gZwt&zd4LrR-FxistGS)h6apwH4-2X~Q$Ea3L|ROrUD* zZhbNhCF&WM^(RL3|2dKla4&#=m$+hAE4{k3IWC~TYwL1K!|VNq6>i@9hgDo8Yn+VA z15P+7;ps5ml|oV^OTnJXNAu$fLY}0q*7P8$0-m{Gt;>k2`>sq4LZQnPlsN@uNSZnz z6?DpW{jn-{n3j>Hk{CbDwHSLPgfm9Hw1x#in-uK7l0W=Cp#RPj#jWD)-U7d1a6kdj z(MIO$m~|Kip1z=db6TW3>Tk#^#t7kB;yk+((6u_6LJ)Aish(SGKoJPqzk`oQ3AhYJ z3b>?24v?MhGq5f*WNlnv#a%nto^~|;lBLZloS-?$cz2YkgN1N}5IT`k{05ucsLAfzcn?5s9|IGGp(jP{ZYgrr-kd9A z;&U9fk(Gh~Pxl19JKhYIJ6axBe5>{(0ZaC{wPP3=QNTugx{Y?yLLr zMzPD;zn_IT6-?gfnmlk*b)oBz`F`;@yP0O3!pAo*)-E6J>XtFWoWE&v@LnfzjcL2H zR9UIf9z?Y5hrrhjj>9emj3~+QDwS)Ko}y zEvx0}TPNi)pbR1kYy78DsanxX-2}PO;>xz)ZapcEZav9p&5-d#M`XYbN#M!NPv*tn z?K55Rz(h;@z$Ux0U9~&2)wLb|Yj|k)5d`YR!joOB5x4&}I&2+$#u@0mV4?Tfke$|d zwJxg?Xk1t#TKk#qYd^jn{-6HjL2Bsv1*k|OF|oasDiFI>%CRzZ`#Q^7}X7}yjL6eb_9*c4y^ubn_rzIRk5 zypb&{PiAjcdqNr-fT1UN)=x~DL%e|!v54LM6ISJP%OP68Zwx8>Qi42QCLK*P+RSwm zq<44P%?XwD*ODpcpk1;R$iGSbU+P)tkj-VMAwg~u9ONebu-D2E##zph+*$5PSunt4 z@OV-T#fb67{rJ8UMQiFWw(+x^4wR?!nV3>hfSbOT(vQFVFf{$?4rW>avB*`|DfkfS z>3&Th?f)@osO`O1UD0X3%*=k8cz1)-=!Wf3KT=V?&n-C|5f-C~WtbOl^--6{)v~z1 znxzw4Jkmg|4hS5<0;cMy`|F4p1nG#Fm&KQ%S-yiaoW5H;M(7~f{`qSdT<&YI_bcd0 z{B-YxWCgxiu8Tvv`$wJ5cPf~FH3jr{F8`A6aD(3+>~WLj8jKXYvzXeU{I{@cCutiT z%ed~6R4hGTOpH(9iVD!Jqs`?ax6PsSmV&>D-_Y0WC*DzFF2-h!)FqpdnYDRz85NqC zq|j;L2p9f2!wOG{>(C@q{VHL@Lw0ewMC&ykj!1pToF{!s`GNd6O}0fEQnNVX#vWsiy;6)<{NFUVf{g&p!n zK9Whk8=F$lS~Qow(a&BDT|4Hg<{$wKp$}mWK7?uxEo8vPzxw|p>n)(-Xo7ZOAP_Xc z7I$Zn;Igib>FaeDe;T}ub9StAJYCNJ%{ExRG_<&1aWw(h0G>`X#1>B8X_LKE%uagxE;PnS0yQHpfEWq|Uz(ICVlZLsui zA=0opF2FxowT09cg;|cJ`?ZVWRjz$0vyijm72$<)4`=RvKe(hdE6olm)%eo%D|_*p zx<%e6bmk#Pq_RSvCA|rE8ce#^?n2oP#IK>Z3w6K9VkKVheNH`*PKDJA+*`+lFqf6{ z9Hkb$Cfl~|Ca%2l(p@D_59Vd|!H7rU3m**0mNG9AalKa2Xh_}4 z35QSA7moi;>Qmnrj`s0b$MZwSzb{#eO4)_ca+&IUnBlI|-k9^*c^SQ(>?rEmOpg3& z>N|}FL2$CJ0&+F4tF_`*aB9!vI3a?U#YIY!L^oY=L48S9o7RIXE4^QqzRQ8)FyqPh zjc|04)zEzEa4w%9kmZq-nc@XZ`Vr{pGcM_=ko zs5(_o4U15n7pep?kOZgi2<}2oyIQR#jipAGk^&F zm-u}^g^%DC6$%ticThLO0dsdP9HA)zsii+g7BNl+p?cxaBUnlKDbP~s!qLl%8h^db z66xND>VAKi`{qRZ_d4N+FRUv$zgX>rL|#8FS0kUg5=7lDO}kI-!PN}E)RD%5ia!4AaJ}K*j0+CuLg9H(W2)pMJURnoh$K7<#JRVVw{V~w4+nYZx+B(OJV_Vnr! zw|Immk1jF#9tR*kre7fMN^YHQGh3yyK3nF6rR$u&gi@_&0E+dtL}e!yF*1aw04eDb_%F zqb1~2n}s$}=8}@+n071~;oQOj_VaApH&#V90M_39Qpy)D%VfwLJVi88>o z$}z87YL#$RlZ``PtakD6NgxeT2wADSrWoczAM=dvzTzGF1JR>gA=9+K?&1HNm`_* ztVCQBR}C-ru+E}f{1S7K?2nRRpwAF!T@q}*Aw>Nf5jJU8$DY!nQ0f-&L29i-LFfdp zFtjlNrdah%G<5KLaWm+vpmmirBmg)CG|%6<#86iy69!LSi3<7KgYKBE+oVOBPC%Ax z;7VvNd=j{X)}pLi?i~g5g2Lt7nK^k#KrsXgIR-&1RYw9)SYYZe{7H+f-Liczt~Rlp zJV?QEipvr2zhRcKa^%7p+ivG2t#h_E2A4?EbzbId zOON|wO)2r$8|oS|c(M0^i{W}kX)iCewgWEpnm1$Vwg-6W zwma|H=jIvMevfaXaJy!)B}Y;0P=(CDHgV7ZTWtk4`Eke^?NC3&5{TsQMGsZqbJMEz z<77RcX>tpzKes#wHVc2(mr;ePH5KLLYy#^MwgWE_yp?9worbtkjz;j6$82`B@5vi z(GcpoEL`O1Z8|hO`&jCBKXr~A5%7JTfwroc%IQ|cV?P;%cl1%VN;}C$!pAV-}^o*+)NF-K`JKu(9`o87&U3D$r_=d)18@WbW-I%bvvOD7HunHp{j)Xes&_BmF zY}eJTluukF3^M&7#HpU##}JVsZvWz8yMOv(mHp>LHFTO93C~^{>$c^WaB-34=-H6F z`bA3u3SYIkWO%w>Qo-c#L}!^GnQK1QI9TraSLGL~8fsEP(2k2CupR#(nE3r~>K|a@ zW!RtUi#{vnuDg_^wbvFY|1+nS^X02*;G3yxuiPukv|HuGq9F*EhQ zQN0GfgVy=A^d0%Zs?JkM8#&UdJlfr6u(ha}r=dOxxr?Xw;`0X1`c{J;^Rl&=mL<8wSp@caHhaCY`e`DNUoRuz>S1l4RKQI0rK&oT z&&uSdyXE6X_Zj*PDwW54M$aINV3zcC5J9PH4BPS~0bj;1kPJ9t z1{vsYcp+3#{D|A~;jQSoaP3)PC|@v4D{~UeqPY*^9p%hM*mlevK))Ti>9HXWa=GV3 z08u9x78Zndm^82OHp{bVLd(&(`3yW+7|`y) z_!&til6W`;mc@iQj2=N8_lcEBoHc^ja01ixIT+2f0{Y1<;G$)&Zh$+VVWv?d`# z9Irb6?4yit1~=3oCO&~rD1CZHix^vX8n=&jhO}>!i;tW0 z(c$uJS}9RwO@K1zfb|BINovlKA}|i&#px8*V8GyRXN~zUo?gEilO15U&s`4QaaPR7 z2foAPOW3zJ-PVqibZaaw`RLV;Cz#$B9*sypXJWszNaZ(h`%U}y?tUujBEQgIRcdZ8 zAWy(C3VT{4*xN2%qT;A#7lWC^xmf7OGWF%c7e5I@7d>}l;KXI+e22CxCC`lZ7Kpw+ z$;@kv;yybnhbjIZR@LEgSJeQrWZE|k<^^lrzlTi2o6gbdu# zw42*Vo2HYS=~W`)N1BzO4^3H!6V<$hG8D>ep}$3 z9O8S|`{?hScG7@9Bk-$m(0Dum}1L3#Gt<#{j%{(QPg&#WGk8by}z{#MO*lcQy=f?`d0MO@)|qq!z# z2Hi{?dzLpPBQAzYv6)PXRJl*x7x#wCJpt$G~l{lWO(#+irMD4ad6b0h1guT5M@ zEX@5Xy&H>33wz1wL&PJpzxEdn9+&h)V2qaLey?Myd(iT`f|C$q_r{T6Szu8Q2AN>( z4Img{wG1HWV?_-h=wQ{LlfNo!=TyLY@Xnq6Hl8BWg+no|nSiC@EpZ6qD|C;MLM|&; zpe-9_{yrQ;=;NM#J5XMnx&;shKqc1y6397qO1+wOFGMrXG61X?kV?n6q*11oN#C0v ztN_O*sv=;FW;WIvP8+7jb$ON|Lj@Mo%UFnU`Cz+L3e);63=K|%oqnRY#xE;3ws4LS zB2ecSLEf&qo7royOWjhVmz=>@U@MQNC!BAvW1GiU;InA$_X%Ue_u+nPNi(J$^vQ=- z0q+p4p7IyI0^t+)WPRpdk*UUG60x{9lrAZG<|||UX(Hvb&G5iE?&gax{RIX-{+iha zwCa1p&GUqtK0eVcs<(+@9W-E?3KI53VO@s^dBcrPrnV1^yZiHO zlE(4YE}=%1%Hb{l*`cWzVL)1RvZ=uK7`1R{v|)z3ek<+al}pJ*x_TvaJ8g*Zksfcf z=B00!VVG#O-2wA9^D?Itu_~&lQ)3>y@)-2`ZCT++gvQ%cV+x8u2K~3AxN^4+!cfU{ z7-&nV(|9ESBPmoj!RC2OVDknBxwI#TBLoBKs*-b9#pvpf zLi7vm3xVvT`KenCOrVK;Hs&h}$vP%X6SbIiE2}`!e`dMS#4CT1f7(zV^ z9WM4K@y)rL5z8p2Iwm7LUFiv;icUigXnPEP%Fo|=F%~pcuBHScxkP{RHoHWkd8i(* zQvJQ&JLIoF=cS-cK2_JWUGjO(zu>B&C2#s|#XV!Dtv3aG+W4N@uyF8vGb8KueZj!! zqQk+083pUsQzJK`FZClEHIo$s;AwyyU_k2}BEWg99^8yj zRg&jLO=T@!BFtecrOExG*{FHL)k-R5ak*nPp^i-WY(HEwpiHpL$VOpQha#L}*ga6O zYkgL+k=OxcXDhJzhLfQ=4|ff0e2GNYDQuMIU6yJF>+qi<<-5dXK;AhItl2X!@&}9m zES^hCR3&ja2}@&3fB$t0f54|Dz=zOfeqdl&U~>O}M!T#WXX$*Ibh|dOVy?sy=Y;4z z$IG#dFiX;A%gd*SJqkb>5=H>|qnb$m0F)t51VSg&QzSVzT(q2l?H-;nA}mYoYU-^2 zkdV>fV)3Z#67n@R(A#tr%fBhyWql9WgaIBBz=Y;lN@o2{rp2fUlaQueD~lF}g(qefI+v9Kf|?E0NX1mT@hO%H;zicx zha9uTSZHj&Z*qtrR!{iu{g zWbKb(32o^7?E{|y$DjPI zPN%Y#mrAR0;i?C+-DGhtj}{6dvfIqBjj1aoazm;sjlcgbdz*Bd2$!}RJ&PPyzevhL z;j^%ke37Nc{G@zIb&WwrEGe<96qJZN4gQG7A5?X~RU*ER4}<$b4_e`7imDp(zfx&n z!k_xh75)6)EQquB`kfxlym?%5jmhmWOtuuW5?lyPlR(&;iYa5mR8&vMB^C2m1y_A@ z`66VgDw&r~R49QSY%eE#t)WjHwnz!{A1rQm+_IZ*;8@kFii-RIgsL2J^ILN zO4M7ei(UoDV>o_Hr&E35t6a8nOeRb+(M5F*P4CPB((!t;7an?b&tXHPhGrvm&*>`% zNt1Msb)Vt&#q&|uA=Nz(!~WZZHUu=gd_u&HVHhMmhq7FlbA|tDvK-ze?)#j;6&Dwv zMysUNDUi&h`Q=;&LzGjp?ztX(6N4p^G|q>~{mYy$zFz5hr%ZZ9ZYuM$aOmD6cN_zY zEE48Cr4AkRQ!5!HygNj1raUA$srOIHG+x??5`Ce>#5f{~oewE1H78CS6Y)z%;AaA9 zXOM;D2bJz)&&x-`wImhaf9ceVXqZSurY3y$57kBvs+v_ycUgNELqA)?1n zQ%fQu)3@Is;(a6*^Ll!exRH2xmwa6s5|1N>HbS}j1Vhrk;0msDV z!Kufyg`z;3w?+M3IEq}nG^hLxy&my3GXqqGV0?ZG0W+j#J=O4vse`ln@={{#vzNs$MXsHBb!YQgYk`n)8rEVgd zkQ8K-s6ec&d_5g@KWCjzhAV0NvEG7?ey9)G+y23wmr?=}Ac7E+fLdF)iO5#&g@9TA zlji5d^OyKP9plUUkaHl)CW~eYAr*bduQVdj;igD{>7#6-1k{xg=r}Ol5l%!rB@Fza zDnZ55U>R-tX(8lnDH4(g6WW;&DtReZyf_&Qv}z8?7&ziR=h)|`-tJP7@$*mxuyS{R z(}(p)yl7C8TpM?ypw?+$G@HC&YyAuo=0_cPKa~Q#jYQ){VNaleUh-)%y7+Rh>xwi6 zr7n)o%^BfQchNJumoF*qb!qK!14N?xU*1SyP!*oyGjqn06YGUa3~{hKn9y5D{9-ft z^OuVaEqg(EMz=|bR~u11^0qUG?(6P^+}~Va)E3jrAl8?Uj6&+A-L6RMZ8b12ehYKZhDj7;wyPR?PAQ`%ONmrgHGD3qhdaD!BlvC|KgQ>GM@Ol1#l< z2umQ$!tr(Q8Z_`Y&gDLq73A-1DQRS=r{3i;DAmDI^irUu|I15(ZQ#i{O~Ri(@k4iCp?TmQZjkqqSXnSVw@SYk| zT_I3S(c`@?M0+7eP`*n3npz-K1BH$iue{D1ibU}`fmI!yT&M7!#TjLHzGA%Me|$L( zw$Zd&gVX6w?e+V5P^zh*L@jgdfs#lDBmrIe^UzR^vhct0^c{R(CW={{ zJk1F?S0Z#VfU2+5qtdB;)uW1qU37?i^W>|i_35V@FeF+}5xY-V=aAPw+m}01Z7oh(e z{6wA`z*|sro*sCwzhw(A>qbR|VTx@v>S zby!|8O;6^fnk`QVw+pw!RgW_Ho{H{oI-GElLu{snEN*s}mabo?2dJZ*6@i?7W2K=Z zfvnZXlR?2QO}_SRdGOM00A{Vtg}(_o0bSzxm6>D~G?@#vglST6KZzdjZb!u)eTL0v z`<0cq%9NFZwf@V6W=FiK2d*7YxbE35{WKoSV2t!!xXB0@V+5c;hDb3v z)l{L4h`Hnj2`0vrE^`;(iPAq9hkAhhYM|WzpUYBN!B0&8kJ|mrv4X@4pSzZwixW?# z(u$p!7~RaI&6)UHHSaSzTq~2dNn&E&HjC%7X17=lTUc11sz$rzwM6wxaI7?cp`tOp|RjMMrx1<&xo*N?Aq$HC_dw% znCMo1v1lH0rR|qL+U~`usWpJ|p?oAWd;fxq59X-b?>ts&WdPqXR#Ti;W*RBpNuEh$ zD)Ah9kgX>bkG?@QCJVBZ>87m9A5;Ht2MwOIfpZ~*Skn*kqOujRoRP4nRL zREq94j|U%6dC8m;uJAmUAZ5&O&zLZpI6-7lgbCx6entzh+$U#O2sF>UtlS1zsTp#M z39)7Vh&5-N(=V9l;x`!zha{p5c(XB2nim1BM0`q+PCe#jHq6ogl4WK9^q(cLRMWe| ze>CW#h<(yhBlzDEqS1FD8)1ti9bp>+jj-)~9bxOk&fmxTFz$<3x_`D1OLI(=Ub^e% z?Q&b*o^qU-bRkBwzos1aA$lR}!+!j4kuEy8WiCQL|k+sp@yv@9`Ap_E`!q znBFf)>|b|q1EV>ad+vm)h|nuLF>9PW)y#(#$EXxN|Sa=p>B38@(?J@x<6x4kd}j;w0* zW=6w|a&%92bfRc?iI%Pcv<*B$&JDaa+>K42@gOA=xu7xn7JM*`;owiDdMU3jnL$~< zhVETny^&Di*fbkuXi#qDMIT93;`i#zR5Tqgq4ThmSv=kt{;1wt8-?4K9gF+1TR)nn!TvwMGgQetL<4)V4ESc@WDfhJ^L!Fco z^~$XSGWbt9h}bOzWv~Sh+fEB$44pirTk15wLVm1e!2nWBz3(=}d%a`TbA&|3mnejIQo4gB=s0 zzNNc=inXb(9Uj6yDTO&>N4tml%CnJNBScI0h|;IW`3Ch1{>tJ$42~kc6w5+8=zt`T zC}(3A2P3LSX`H~KpQ6|vRA(48@nDpqlOw5e3|!k93d-JE5|6o`d=lrJA2Qj3qF()~ z$GQm%h@Lwyp9C?!QaO8==C-v>zYO~nUHrX(iCak_S15A63_q*n10i~EZnQX|(h%ps zrT2@usvD6sl0e2LqSKLk*-5UhWTn_)J;tsxrDO~QIgWD{1aiI>R3~|_`-pxe!>m4N z%gI9#ZLi8KtZs=cx4C*nKycT*O8`0)kh+!lP`Fn|g=u#*6hiu^E~w6!K<0jtRy>#K zUIHQ}E(lfe1RN{agrJg%u=##Ctebegll8&O5P+E!yV#eJQ|YQ*@dcsmuK_#|@McNt zP}M-&2J!y-yHuhhwHnWq3KhqR5*6qM*F0 zckP-S_u^BG#WryFs)SX&5o%Q%kJd^{{9rI(nRW zr{oI`bcM3BIbqnf#ywZ{mqGv7Uj66o%tzks8y#EbJC=!%FNzI?)w67=)*Wk<|47hn zJ;yu7u!&9K&8%(5cy3nX;_?3^>o(`GF#KO2B2uzxkz?^qnQ0PDhwe(mPR|%(yo<>P z9Fe*ODTdH?T{3h5oz8akkwO2urjmZUF5Q)Cb>6{hDDQJgVx39q8Dg@;LF(%IpEg){ z0lYhue8-gJ#k%>b+fn1j^mxf5fn%|h-P=poUCL55-#pq3X=CK4bed1wpUebCuD{%-9rh$Ce8vxx3w>C~M-2xH{6l<-NMl<0PO zO9XDNs}K6myjR#yI~bgf#JVx(A0wSs30`cdCsf*1m*0fLv_NCva!vuj?1jHAOKtHe z`P&7tH`;}PUb=-!3D`VHf>2Sd6Hp^gH@K2W(9%XF=zOb)LX6QdIkI?sV?J$ZBcsYjV2Y?*zc#0AatP*JR3UUV$Q*Aoo3E)5*>lU zJcNxYF=L8IsHGc(LO^IzMDBZA{f~HI9(vO${IB>2{&)00vqU_l??^#KjX8(=ktKQ^ z5p3vYk$ehVGE?_x`oVk7q`>VO)5?{NL1{G4W=13xkHiFbPr(+>9~A_F9R1V0J$05WTA9)GA4d@%CogW#N_?3o(}iRAT=){59XyXcm5*9SH`Sm{>4Km z(~tNj`uyXN?kd>}&i~DBOKw$-1&Dh1%Yda!Jocv;a0=5jeTP(5?iC9dSAx(gBG(vwj-AvZKEzSuu`-?W zorg~5G+2V#wmNTGLt%L?3Ur$-Rhnvz>R%Z$J%sv?tSBPCyD|AkGjq6@mV2xZ7rM}h z6g!wkWdJfrXR?p|BDh~aQjBdX+w~@N6N6V`U?QlP3ZzqrNES)YjMR(0kysp*_ib|R ztyimz#3Ljb4J~a17l$Q=j#>*OC$T5`O9<(G*CSwDqoF}n1(Brke~l&-qoE1+(cr{1 ze$qvw4@B?pq7Og|Gi)anE|?d;2bPU%qF)A6^K#OK{}+`bofMJlY!B6YcqY*t?}6G_ z@J>=G+H3|}=u{A6a~KscuKm=})99$CA*Yf*Hd9*~5u)`kwU64Ord-xT^*zgj69bhL zgRu|n!n=pMm`b`)JDe>Vk*I3^e3V!(PD2%I*HLm<@0OFV2C(*&5hRf!{rRY>Oig3%Yj%io`=<3y}^bBJm zxpQ7lH`opZ@Jf=_0wxBvT=?qc9>Cd1^+)|d6sh{5V{vi@K$%h?jA7Ix1dY@e%BP2O zH$Tb$!;#e12f2TAWz%9;-^azc>=gm*=A7)63r1t7xf24{I67JB?@5x&o9$HsbukI0ja!2A;Zf3bu z#qK;2lp|TxIR)cV@A4*02>vLy#E@OxPwr`rPHNSYWJBel8f2uqMa7qNsdOeDaZX}% z5h_>ysfB>={ZuBVj5ze7LGY@RASd>QtynN`wg@hGS&J0&WUVRX?DMfKa#Q{jVf4wL zUD{~RjsgS`|GAEzXG1YR-Aj{*wwap%{|354(B)AmY##G451#MugyF^hcF64%tK`ls zgenoxay5AYHMlXe8+>4kQda);r66awhDhxI4gw0=c z!BT-EcEHxne6skfd;vPLe#81-MS4E01EzKD6k})0e27yb4Fn)slAbAufirL4Fk?WA znK3b-dg{!hy|QvMbB9EN)i6-i%Y6Qq`HaZ&nix`N9V0A ze9|%6-eWd!LzQH0W76|}#P_|*u+^`eqXel_oDm=L?s3h{oO^u%|L(nke0XHSoh-iQ zMTSh>B*~T7v=?s?pOpI(pH!o7{P?SyLAqO-gQl!EQf3kj_51mMQ#4REP{51`@>!=> zo|G^yBEnD|0n77k%ZdB_?9=mdm51f;JH<@}2`|S0uc!?XHqRI{^fG1yml)D?VH9ak z%5-7qi?JfDvZjLQ|FKFi3@yq4-$1}qX~GroR=N~|LI8#|J~#jj!HxW8TUMT>P*$Gq z0kER1d_=G8e}fnESFRdm;2}={x;V&#=X(67DEkTm_gdm>tW3#O#Jl*}g~BW1QJ` z#p7z!K+Im=Yc7gjRtHIw9k*kG^&f_(Wy85YZ{vHgBD}T8D~iud{@-~V|8w0}<+oZ> zqRggFcEttm=5~KoGqSeVBstpM2iZyu~XPlKv`CX`G-~ODXZPH0ve&o>Wn!j3Z zuno2kUY^IUd@E-6NBzus%|TZc!kxQg+6uGKophgY&obT`-T9KeAFP=-89+0Nl}bnF z>oEzAnaqW!n*dZ(gFq)P1W^{O0E@4z!8i_}?SB^kK#!`%986WCa0|p&wgU=JRj-^| z;(+Frk~=2@o&7IK0m^Qglramr#<-AS=A=zD=?`Q}2!qBP1M`DR5f4kDytNj> z97$0IaAmk&VZ(jN%HLy(K{r_?UY)o}n-c075-&yr#P$Wt}++&E-c z$v@Ok;4;$nz0Qoom{FD;Vox415s$F=32dm=!5Qg%XYoM0ltXDCQxA5eecSgi=q@I5 zFYo3h4|zPriJ_#dFN}y}E8n2OB&M7lU|3hl?U8aJxVg3A_RtQZi>rOMjfrA`W((pc zHAOtqqc9Om|3DW+838sXnIu@38%IA%K~#8moDr)Qm`~Fp0|}9GSOSmM!xYm!dl_Ap zcD!0Ph5OD2OmE-Uwp%QZ*gvt(1SmlQy29aq*fA^D>I?7KF}EYP1Ni0ZEV@~Qn1oeaE4drY%2Rq>JJHC%QLv)^GcmKGqm&ENvaZ@wJeShnOTQU@GId#~KP!WW9=~b0+uM zjuH{&k34oH?Wvku53rTO`AK?*+{A;%jU{2T%yMt8c)FEqia;wsnC$AS9lkA%<2w$L zp@5%q66f7q21{bU(e5v_#SU#Jnt8{Db=SDplHhXhOaT%Fd?4cwb=9|o2$4fw8oDU+ z&I`!{u}(mIYCt#po3}SWjWLtpOd*m;PxZ>FD1Q+Eio5~DZg3=Qo<*pP85r?a{kiZR z+d{1Z+&}UEM>1nOK%k9)^o`4eX(FFV!6y7 z9-n?{OB{C;!;pDj+^yGx z$@wY1lS4>fzig%VH%$g)IgW_$7@XVTLdKi5K1$xCi&%G-EV7@n=Y?JNG^3Ux`F+%W zli&F6mY<61@tvC=Fb-~jaX?jHI0%e`GhiH0`P|?8{tLzK?5fFBB)A~U5CbbI=~zNg zjXW4A8!#?}0EkI~2ypDZ|MNd`mU9Z=AK)*ocbCnI0R?1kgl1mB6LlP1mb}ET%l$uB zAv>co`9o6Ymp+3dtwe#uP>s>EoXNk;C})7HYEVK@-kbotcL4A1$*1xu2aate1&1|o z2}H-p^$0)cRQ%=CmDM8iTJsKQO~0D;TSR?&ZJy3=HTYND(X$#l^$Yhf6n6?!Nh3J` zSN6G7`$zF9!ulOZ_>W>sMzJ$hX&UgmyIl`se1&w!$gH@xoxP{M%5;_Cd5||9wHoyh z_0t5M9V6t&V|p@Lf0wNc^`n6t^5(utgcnN^O+H3p4gtnAmKq9Y-`wQ*sn&e;5vP?G zODf6ZimZ0j#t1Ku2*C)JT4~mQe`Z-lFbS=O;#Kn8=g5Kj%2w9IHeK%xWW%;>A;;vJ zjuf~Ffh+Rj2~6TV37(DmgXE1317X)^Yyd6 z^6h&h)U5lT`G^_WOJ3A;Hc4EhRHaO)s$N*vh|cU5_o&Irg#zfu^P;^OYD_!c;Skan z^+7X*L|ivo|7weLK!}%rC^Tl^l`DL^&$(5is+n-Q$WML~^{E-nw$&fGFP@X~0;|K- zK2YOx`V9NFyaJc~J1S_k`nQCk^FY1NDS(I5-NNWGtdigYq2cMZGsHuib#&i$LSCiT zI;iJKNFRT6U(cgct%7m-8P=l!)Lu`8xvYS%8T*rSRpBS>UysTcD?mSO#*KO8uP^M3 zXDfH4!?gVM=JE8!EjOWhm`CCtQoO&=_%lj1qd6W21M(P)LEg$vvp+6j{5T(m1Jmb*h zx?KBr_dMg*Dec3G)3I1R5~jCz4UJ+61(&@&F;=pRN5outZ->cM zR@u#{c5EtXTzMDlA>{G;II_>Mv)~o9GBbM-$TLTRYOw`f{5TIW_|T|dUgD3=JIm5; z@&U@ar5xT8SEXslnw`WZ zyMRZ%Ssr{xG>N+7e3h7HOnQO;2~Y@Qqtv=<#P(18z0+xahEZMyw^v0y=T_S9bCX^x#J3TL^ zLYqF9FQ*5b&Zc_dpeLdx@AZYshj~x;d*ei_42Q~VZA*4rHhhDbcHglP4-Rl zgG)k5Uhc1Rlb>I%QH(d#eBP=)GKr93QJ02m1lgp^M^4JfMop^AMo*GYwY)d*O@gHn zat%}KD$B}c5v0sikrHqv6xy)K61vLAaj`NMTg#~@Uz_TSWUR%7xu6kih_M$8ZZMhd zRou{Phmmc7bp+LQA#Ww3tZH>=NvMkuu}QlN5z$E13lR}X*9#E;WGx_c`C|@TPQxf~ z7;=#BrCf6RrCj__-pZHOYDiqkUOj<~=#?QW9ccjU{%H#|Af(!3lRfkmSZ~Pz^j>u7 z5!rj1vZ227$=Y`QXJ_rNpCCeK(vZh~Y>?<#Ur6?isiew%ZS4$GzXD?NO1 z`ArNsB%nYsBbd_vL_KeztQRtjIZ^dhJ@dSWkg80Uw~;O^ujZBvGT?CxX_ zj{sdg!h4Bf-$Gn;$6Go)doJhS`@)!8*V=~`22}x{hE8Z}?r$E9W4O*7?g5>Ze=Xvf z_xLY20$`E2zrS_KmBRe?EbMu{I{%E|gK_be8?cjNU;RQb4~0+FS~7l4uY73#Qt$@@ zW$Bii0S&cr;v9oU;@sCm!5^cc8v8*<%~krvmR#?*HSXl90>*ZR_Y#+j;-@E`ljj9~ z9j=$#O{3D|KI?&G8TVhsyqKI(J#=??%-~=dTx?^?!OuC-S(Q51Pn>vSCp9P|Ckb_R z_yJhm@vwOz#(DrvnjF5gw^CrXNUumth%5%96_idf+t z%F233{1*ZfcRMfmM<}TW?EUQe2Szb1=23Z&cF4)Lt%u6?nUm7rjf+xCDw_+uocs3L zg0yWibFiAH6fuu2%QmTeoL4p|BH%o3mvWD3%IjC~4oRln-q4hdQz$D>=nEC)BbkFf z1i}O(5~taYr&>-FE*Oc+Z0K6H*7H2K6Hj{97s7#Q{iZ{f*GQDrqWDwFD4Wji zy2A&LY#+*dvI6#G*v(D|iVKowI2cPj*=N-%eDC{=MxIgUPwAr|`9PC{NLLSN4e*mv zbn=5bb^UV4@srN1>1{X)+;V9@^r_?>9uyHW+bamrc#rVl(Re>gtbQx{B|tZ>dg4^> zYiaM^vP13+AKpjaFS2G7@zsFITWn9S?c?@VQQCfM3ST5%Zk~93dk&d+dxpK@Tw+;^ zQYHs6{bvpaopr-(@s7X+B_GTqQKLb_{zzqNqaLh+li3q7WF=Z%{nURLyR|ksV%0|Z zl)jxt^%PKX!&tU{lX0cFWlT5YUzV{-hSEX#d(r%jSS96eT8%{SLoN=c1Fn-Vq`zHk zvfgFjN&PyH|44Z@8g-r0A=jR2l;{O{ZQB3G*pW5Ci^*mJGy1ff>`XSIDLL3lbd!B- zHR`%6mTvX;z2|53&Ny4|3t$EO;xIegAf4>}ipncNzq1X@m&Se=85FxUAr5p~*1DgD zrVicj@6&zm$0KV}&VGksNs`@42q}F!jrt;j_bRb`toRp;`Oy#al=t6yqR($yN6US^ z&5!)eb(GAS>GOM|AI!hXnLyDH+Pl8Zx`4sIn|mgO0f5Vm_@7IkEDLTdUmnIt~dY2Ec(!WKo=;wV~vq1 zZ6B~Yx>ul3I5b?~u|W5fbE@ZmKV=?3xm)lz>}(b$;n@gq^u$ASdh-OivB2MH5%tMxW^Q@!^GUU)m~*y1#$bi9NO@^ znO9ZSedyTIqu0x<86U@>NHj;Xjsh{m-juXrRnUmu9x4VA#TU0mm#cDi*INS`p&pqXCEZ@Y*@d+vszud>Jr@i2;R5$E+0mjn7xhvM;)1Sl9TSGh8B`;=#yVlO; zI)1~3e0BxyehT;k<#zL*pUs1F9QGnz3%jRczXTY4h{S&g`&N2-G<#lIoE)j190k1i zx}PGxB;2)Bwvp4(K-ST~1l$o`5Pvchy~UJ3nJ+HrxtV^UIp>*S^<+f);F(3N)!0x{l6rHz?#9z1L=R!re*FJTWJnZH&R@%^v&o(3EuYV;reShfWMUtal9 z97yt`(^vWON3o+4|DPs{21lh*y3E;hB?*-Pday>9o4H*hWD{KZ?8rjE=H9(URFt!-r*2HJ!Z6qUaM9q}voq^tjwSKAuN2?Yjy_F>+d@N*S<;EdYJWwa!I z-{oW?uHi{B{C=^yCwe``AG-0nobKRALSS(zm?L;nmBN9rA@-&AQkjNnfmOJ_KEai( z&bKm{X~77_A2-$`p4X-YEIMyDTRVkuXMpD&jgKt{-tYA*5*ZJFPuix#E?62F(V5Xx zUL_Vm)Y;z`%ZvIARNLQ6zJ67Z?U3GzS8bO5O5iYgwBqS@G9kAw&g2x&Qn|k^`XSMgBwX3G&mImL&`8=%~4~e8c}? zk%;doZ0!zySH)5Je=0q8TKAXNRl}13Th=bM0rMGS!bDT{jLm&6wUYaoxXTdQKgKM{ zWubPp3YdAu^XnBXeibq=1Tj3vwNy7rM8%qc4oHGuEPSfB;#? zGDjY?9>Tb7$5B5#`riqgHLG}#S$05hxxU9AY+$(2MYQ3{wI#=>K#x@aze(;$RgScp zi-HS5)K8-2gB6daCS7H7C<>oG7bbr4puPH5I5wIN?F8D&JO1*AUJ~3g6Olcw@ujG6 zD-k-gFC<()Viu}N#%u;0B$GoatOqhZ&#{HR-ePrsVHPrfT0-}5EfmlSw{vAC?DDFRGIWIS(z)>h~alk z{I0Z`JyC{&bsIIZj6`>uHy@KnP*OG=l^KbadBwLUv|l~j4lBl#E5CX<(kTGM@9V1^ zk#;GX=o95kp?iS?rk_O!?;tZxp(U~dyA-9<5-G=!@9TxnkyraEz4{V9$L3OG9nLXR zb=nHNMPI~}B_okCQ0^!c9Syf?>3m2sv+YnIMSfnEVn-D?UHirRRT9_07?h1k1y5Hs08_Z6_Ps=Ek%XY?_#qo!y?OO0&ANg%Ln-wQ1LBh z9db7(+r5l^VhGk?R;*>sK~@Fo zd(0LTm^dtar{jkrqM;)QF}EQkNu=IDH*0${bjwU%&U62bD6hmn&+Qx5+=n>V;QdFk zj1*Y78(MCpDBg3~NOOaGCjZ&keQo;B@%FT_1a0wuRvJ8K?p^5r>sssmp9W%V11nws zoHKZ4S^L{8-tO)ZkUN&p1;@`C4V$6+!V=6(mIOyJKvdp+2fEZ#64G;{#To*~kez-j zMxO*nN#Ub3aVTQ&%|Ie+FG?GDV{sa6fs2gnZR2&ihvrPSA_+E8$MmyT2XWMd7DcFI zEa1bTsepPnCsc>noa1+}DfeO*i$9}T=Lum$*9m<36oeC#64Zf{X0?-@wq*n7-$CSzM*6|o7H}ud9aVXA zmm(fMumV z8zl>(SA#XcogWI|Cqctl?~f<5WL*n2^>1m(JPT^$4 zh*(_$6EME%K{m=Skk(?)!0D$Sw$6qq-g~`bw||$`XY}TuCh-;i853%6!PhvV1@AA> zI7wiRY%s4}Lgxj;lkEE>Dn}_1D(|Rn71udSo!U8zDRD1Ss`>8*JVS|?{{v3^9=@3HY2{I@U$D`)ZgRiZQtV4=#>mPT8dNyDOqHRYN3`EAnab-{!uBsDdsL zPSfVYmUXj-sDLiDV1$O<(j~(ZLoPaO^^?CWtG-a>zb&I3L#p=J{mtug*Rv-ZsTVUK zClq!}p#KXXH%)PSUv6l0I!^;0!zK^X38o3czQFH&oPBU}QPsR`98dHui0GGzOHwD| z)v*Ui%5PUzzJT%h>@-J@yPEE;l!a_q-g32V4`Tx(?#7Bb8We>~+Dlal^a#p7=umSu zj=S>W6`Gx8T1IMg`ioU{71SK#b~#Y_^Rn&35M^vh%gN&8IY{%WCrgdqENGEc-nG|G zQ!(0zlloq1HA6N8T`LY-Lc24%qiNo%w`kuBaB?81!fsb0UHNA=*chYU9Z4?t6k@ zIE_yE%(N$!zi<|cKA=Qp5JT>9;pw^2XyRw>nP5F0m`S`)0di&;^lm(&&6Qiey@;n= zH+mnpC=0Zc9T&d4d;QAWeFLrPDLFrRFyL1z1o%RbMzkK(5E&*kxLfH6r`@#93;G6p zxWgyzU(E-W-3GPX^{5OTS>5od4eOiRuhHsS`$LYhRCWJ!*D#)}G?uoMF6j7I&>R4F zp+@`2MbDXl$LlWKq$ht~%-wwEJrT6$^6%bFY~$q`-H?l3%k4 z%?Fk`6>N`_FU(hfKv3YFJAb>TjeIf+CuX%x|D2!*3gAP($tRGlqHk)S^IM5T1Sh!ltIbjO%^+3do@Me`SPTN&fcQi!JAkfEO>#eX*LI5JWX9{R zf^_Oz3XS7hPkcMs_WjI}nX83e|v*+$5;a=~pq^Qu? zi*{(2YFc4Dyv9(ftd)~n>Nnf&-x9#A8D$apR^Ua8QzwrWD&ld?h^k6!G+-RUD(ph( zolU6$I@T~)-_o^ZC`)LjU9Xt4$f~^$@lX1-OYMA|2|X8`r$Bo*P2 zX*D{7f}$h%wyW^FWHCq^%8E#gig6SmU6%q+XoB_ss zJWFFST?J0}7-rm4pFja~cLaNTDa}VLSH&0K$mjItVd3UMJCAC~PT>-~=8Cm38$m;p zix-i}Cd@oEEk7XK8h4G(JUT;7D2mUsCBt{nYi_|bYemn3;8gHXwTYT-K!l_BNQ2V$ zAou7xA1^QMAumnv3-FU}%%}^$VEF{asw#A@`HZz_C2r=bcI45&{eI>-SON{p4(`)=J4wZScv8nfu1`t6sOY$+^&v;O3#U zXuGL8^!86oGuxlyR|f$;JQLHMTSa3z5h@^>LG_OmQ@+4c*BUI$iA;+s;zc8NK^AQ)^5Z$>YGs~b zEd}akU|-SGV>G$9Pd_(TGeW3R&{ZTv2ICb`D)4RC?BSMSz(w0ZHBhIwX}HP|!gLvK znWlxc4USF79pr2Ruoi&dc!Cd|nkd&LJ+sAO=u#QDTG#ELnkb2`b+tAg?zmnigoCJx z&PJ!!izUfYfG}}(4oGhF65Ez2&W50xU-%MOoJMPK9%Ufz!1*b|D9TWKtS4!j3$FtI zh~2h$>;m8x*vtLFbSee$>%U~+b$)A%2m;@yru zXm@LQfWxxzWfiU4h&PId>653(Kl=tHC&6UF6C~{Vk8@Zh#AkL?Fj0VToDGQn1!aQA zMs$!5iXFC1^_+7}it^*WH?q)~z4A<{tT2)Pu{*?O50R+Ant{w^DugYmrvc|$5X{$! zcmP%;Pj|HWH$l7!5-1$MvuS~OjZIdv27=98q8AKGReiEpMvWP^ZIp1=nZ~>TIA$Cq zOkRB>oUlO1l07X#^&4uhSwO}UL{sEKky#E2V<9n`wv8LvmMeBZa944BT^bq-9qOMj z5s@?{$yF^_7+x7-BXer9OGGsoW;k9MQzP?o8?@oUK5}RcyATV}X7a`ax04mRGhjk4 zgolu2Wl0PAkl_Ck_}`G=v?1uThZC%`^03(4OJ9fR#sxX580%7|K(Y+qotIU_(=|sK zi}3aSCT_qP$~7M5z$TLVzGuQBdAH~K@ z6@KIFhqUefBgBo6>7@zD5nlm75|@jdrs92BN9FzqokA>Q{lH^w)5zZxtceq~tOq6V zjdstBCk+rx-?u=0ZkF7((7Gye`I2aO0M{V#`dckNZM-jH5I|wKr2Fj##^Ig`b(q-1 z-wZL_>?3Wc4P_=Dq$U^!fuZ&P5ADpd5eVDHjO3k4Pa=8ZXT;gxGcRKqBiS%%f!XKSkP>VA?Ow ze4{b=$hyP{Z%k9>eF;DAmaf0zQUt8XQ73u&=ul=UE8cbeWaerRi8E}LzY?1r>#N= zaTfjo*|Dxr#uADgI>+oe0%!G2lmX$9=}y%G(RTP!EdT*ze-sEqmPxb@k>%g#&%{s* z{mzdYC?79Jd(>isEJJfat^zq_^?;41pPHiZv({hsEwG=Y7J}`|(?t!CDySSMJW>ge zF3^b(RR(D%fx|6R%mW0Ay_0V(Vc7OYUDrhYVU6Or6Km-$ z>>BJ};XPTy?#k`7NqTT^szHAU1NEwD_w+0IN#WNFi7zO~*9{jEZ z^*{t(Eixq-4ZAAKH`1iw-}CT$jj5->u!HcneT@Bv-Eyz%4NZSV;-N%JHpnvBkbqnh ziiM#Fep&y-htSiaq&@5GW4Z64=%ee+2HggE1V}kQ>Zg+l{?ND3gJQ6# zXBV2T90}cse6K|d`^faC;|rPciuA+%Fymg^2bH;P52Zr+I<`NxCodR&dv2Yu+nXU& z*goP-e5J|_VTbQXlePm-2|}#Q<*Ln=P$|5YtHkhHqHjAF(bxi1HkVD!r!daZin1~; zNDA?b>=>d@KcczqsWE1VfIb$u-G%EbX!kmWYJoDd@}~4JrWXAo*nMgzn7wd>Lwe)S zU#SQD)tVgCc(0RVATL86Sd>$EGv9)ZvMXJajd|i3uiCI=rZ3;HT2iuw6!|@*XJG@nH$V z(cz4_P=UbvO8-4+Qo}yCWYHEgNQxR=8DKp~ zx{|(3)law{UilR=WZeBQWt@w088_efr>6(Bj`Ik++wqm z5v4tyWXC{(M1g$D9VXg(z&eX{)peR-7EY zz`k&7QU;L~k7C$0jmX`fxfcnB-)icT*2gx+e!zt5l>UKA;pAwp5Ke*lLc0zU4AC)4 zaer1agQz6AGk3uJz}|%Y4@X-Sc`5KKitW^cQ0p*QMPZcXhOh_p07gATMp)adW>x%P}|{;8P+s)-0|Ti;Pj@W8798i(}B z8f#>J2~Jz3{F6}Ah}tkjZQ>uJMn>RFQx!QVP}fgme|bq$bw4~^(;o|Ex^jJql|Rqz zq7JWkhF6EMqUm(fQ7fS~^%h-a7GlayTLnAJPzY3YN%F5dgYhtb-5WNvdUa?e>%qCI zCN^x1Jn+YncdZ+#1giJ$1de8XlPW?5N&QHrQgf0vdIbM=G`~L3YM=nvLPCKxRvkFl zgL78Eeq7qs-9qSVg-cHIyr0+l>8_ZNdauM38#31cHY=YX4SLVuqi!*9L>tJKLT)Rq zL~gKa+$L%9df@2SV0MueIHv6ox{sRzyX!f1sn+g_IyGCE)@^PEaYtoMJ`Lqi@l$l5 zYeA-!sukh3BllidI+CUZA*vYKlBO$?WhLK)#5qv@%v_T&3Wb7+@pp8L!chrMa-6Si zRl5hkd%O83U>X~Bs9`kgm=?XcJez^AShZYB(+d?&`+`IB8kg!VSado0qPPy~Hwmm= zBE`$q2mG+BP-&kiD5z3t8xek1&lSA}I3#3`=3p?;Fj|P=eDeK%(!c;`u@G~!5x@o* z-z?*3`4XU%@xT_h-;-JGXIl3bP%z+~bA^b?>9$JBFAn}=xPauB-7QASK1U6i)q!*& zkj%@Uah3gs4b!f0_#a8212QWde-q?8$O90sL>vOfEgdiez+KQSF#W()Q$tIywrF64 zOf9!?{)1s*${?qOQqDOh*Sht?9{Bwx zw;+zLMV~kb9x|}PG@18oNsoC8i28W9zxV09G8N#dI+HT-A<9uZqG}TlJh4% zmSP_aiiQZQEFcFnG!Rx3Egx(+5EcuX#t^S8z)=Ekc0Cyni=Z!TJ*oC}B3`3?3aBi*6XM zCO^?8Dm$0RRhSYCB#mFt)ZL&JIF;fMsw%KRstuF_*uFB?=R%US-Kt=Jmj4zsNzRn! ziGZD`(KS*e>6>EKi@F(Qjys>oBh1s0wZjt$iV>BpbNmMLXL1af?W}aE7jHxgxdTK2 zxf(#vr;2gs0(fd27(zd!_M9K{_+sO08EPYf~2b7lp0#h^P+Z%6J6DQ3rw82s=B1^ItP&g<@W~i;S zG}(8w>8$d2@G!A4Vvd7*pTirJa4^lD@dNwFp=d2X|1s(^=1n)#9n(`3;JHj-tKTY- zb#pOyyyXpuA?IHoP9(7XDHSJhiljJKC@K&Vkg}4U5s-C(>foFVW;dYGRj$8SfUu`+U`?LXHXnyp_>UjVG3Yu5hQ?i8K$mYDq z_(rvcY2PFksUxeE{XEZGoMlYBMU z2nMQ=E2z&V(NF@V^iIe@+ySoqP1^0@xFWuo8rmLC6&n)>6K*uDWefb#xLK?VQW05? zT0*M+fH*p}!;X1g}a=9PIn#bTTC(CAwlh)p18)}igc zBH|7#3FCXV%yQ3qCe3oC2n|JN07%8}>@3b^3rOU4AB6tW3(@kYv}fh7LgUzmRCci>&;hS$@==f6-5rI;lVvdvz!9yECG6w)q5LZ{ zAj?R5PG>>02D|#mW;F%B?yuxR&5?GcW=&JcQ6@StCLDLPE(|k~(Dr7+>LQeh4({ga zhCm({EBT8k*lV8(%)~}ELWwR|tJ!cdovO&}EO@SY@FcU~1t4Kg_9ker11j?}Of z!$>VIC|y|gvV@&Cr<^g0lK^nfIZ z7aG*L)NQe_6Q2{3r6|Y}7)6dkoR0@*na%J+53~;T8ngTtbH=QZW{|Ue5+9V9F#Uj z1fmDC2{X;&_LZPNBu+VRWzpY`0Hz;kP4ZloERRNA8XyEOY7#z)S2=UkZF=P|WCQet zTp`xUTeDuC!F~_b8i1Ogr`>NH4Aa;zr@(uP-^v_lar_L&<^-gMX2m4+8&)c6;3^5A>$wBNhMo>PWV2&^B}>kBc!lMN5alqVKTaAE+l47t zB_c~Zmjb7m;IjBJ95W#^&sAsH55cRpe%5CX452+8EF=so^%t^M6qPM7M!Vh9l-B1& z>#PiT=)X19l$zrsN6Vwwu%#O=rmd3pW!k8qYbGItv6sW`^qh05BfrDrP`sNRNG7dT z{t;yRHA+jgg-j&0?pR`eWR*;^p(H0XE>%o&6cj@e!+14TS16;Y#Lc~A^W!?m$8#U0 z?zl@!3o())l4gDfHh7iV@I5R4J8sH`LB@Q&XY=|N1f*oymPlsqsI?czs!Sb>BZ^J7 ze~@UxMx~ihsDcP8JsE6}zX5fuYO3P)OW6`>6V-Z=8Kfe8^B)WE7&RrY7}L5x{S`)6 zA!-AY(mMJjSL{l(YTHYJID&3B&k$8RFc`{R5@@$SJ)fz>4ivAXZqhINTgyHtN%FKk zuaz2QM=cKD9VE)TI7yDYs!0l%F;Q@wiGhUWesSv)E+Ba!r<~pcdWH|;tF$MzuUXsw z2X6iFSaHCxY@m|?yYSE9ax~d=Y#Cy+Mv1o%N%8OB;PgC9jbtz}5LZKNB*J)W8^B5dKtW>3D z5u$XepnKd1iD}Q#dyz`y0gcT7P_D}UM6vnM9_K~Dkk|l?nQ!<1duWAaLft z5Vmt@Kc#RkvvuF{Ma1V{p$CkQzLH+-x5&=q__Tdd^FQ@9 zB__)!U^Y%Sej2IOXxEYeJxBgzzf9=~-CB~P+`Wb6i}+JRajRLFZ}edHH%M~&b+vm;OF_qovbhw+_* z%{t49sL)hHe|yWWsIr25+s^m+;eX--+NAdT7JAEz8?bgCCIcyymxLOZF6-*Q0`mez zo{jl7Qpa>VmIzSl5(}TowD^Ne5a!1ky|iBXv{EL`@)QQx%gqX)>ax$ zO?-M=twKX9jf3+`vQpXzf*qfWs3(%_r4Gj{%ET%M3z>< zThi)F=#Mg=TD5j8+C%;cdLQfLf1y!9DVq7Tnq95-V3l-pUY39n?V&`({vBZ-~ zY+{#gY5ul*@eaL^Oi$K{Tu)Pw1Q-pW)Q(RI_9$3u(&12yt=0JblOX1+bE4o-BVW}c z5bHYpU4Z`yyL%%xP!anUyONr@Vq5N6YwuDqjqdgKo>S`vpJYu~T`Ag4CSMngl5XUs zc`P}i?{BNmd+h4JBz^Z*bn=(2c0==_J%(NI*><$WA#|*Xcd@hd#;-FR)x%a7b+QP; ztBez-lfyo=0*nZK+3At;7Zs}g#CIvd`f~>dc1MPhTRn_R!uB06CIjJK24_PJ&1FYI z1J}#0^HuLTYzu4+{x%&Kesg}*j3-@S#L<3vT-UV(4sM;Mkdgw<{{%Obekr;sCLLmZ zTo#NyV>#hZ)k#ogu4|Pz=cncnI`)d_QNFF=5uLYCRFeg(rb}nfdB*to^UkmnwJDb9 zoLOC++mE<}_Sv~B<7G|{;1@QE0s6a|lMR6xAE#ohUvKR;q*};d`5hnttPccN;!rmx zT*DH;F*0de1@0CuJxF6!f0Qi?Qp&#mN#BJdzhQEX2CX{&DVBJq3>k|?*R z*KAN4_griK^uCVr_wJQY)xG;K_veR~Z-?KPC;#8WjJ4Y5=ZB>tN+JKwPb&q%p3gsL z<+H_avX#)FNiuBFdANzni*wS{=N6Vq5?O6!KMNb1%OP7lvbJ2`UY{IurfW;JjAsa% zL2STQ5t9?#8B8vpJiU1S)^E)Bd_oN#BC`_J{GcO9$g2Z8;!h`Grb&Y#vYZ|w6;jt) z3y@rIN<8itHs-`swX;Ht_qBoy7*n5Whc1+g8pVOubmPEXenoMD-G6>NczKAcJ$Q8m z?`du$(z^K5gQ!ZFnC_v}dw>M~W!KBdtZ-m5ij-UWjpMKsRFEpqcqzP8k$B&-H`*hT zj3n2%IgD_k3g_u!W#sAN&V$e2$0vtCkg!GFphP%xfNKn)jqZT#A?`?+vLG+5CGosg z@QbUvtJ7W$@1&WKvJIEAtw%VFQ}rsfWOXavtetqO>g|5w=<(p1;c_yoUY;%1lP~0$ zc*2wc^|_`iO`-B+VV5ikrEJAn4-nh9fvxg3sF~=!rY) zNZ%fL#95G$4f869u*gsA2-K#oLOh!_vurCHM1LWSDzc#Fy|{WpOXC+rV0B9a8INPv2^d2rFOM#f=A$=(_Q}dT!>Z(3zh#k zeJv|OCggy%PmDaBB|3f5mXsLI>}ETQl$SwBIPKhEEH3I_z+@%mso=7gfIAC3R8m+y zEu*w?Sw*Fw*;qLj#f$k)`1)<1^cT4Ri{}1-x_`KiZwaRzF@Dp=WS-~~Tu&Uhu%9r> ze1Y5?a48hgdids(lxN(h#Jz_YpJV;gu(gM9(BV;p4>+oH1#;U+K1^L-cV}03Wumq& ztN1qu(>{b9U|v&bi;U5uMjPh!FPwQ9j75TPZ(N3{#bc!A=P%}tyVjd?le z*wuaxv}$%;A|_69rePv@b$SvPLo0;U3-M`W?zhdO&7_og)C`)F`pk$v#qz*;rs_QL zZe`!Q#Whr<5%+@FNjGlBQ)FT&V+l+P_p}RJy0t9(cCTekbGFRk;dtVy-Iuh*BX)d~ zNI}MTOW?jHxl{Jz`8-$v%==e@J#&`A|7-&Y5!@T~GJE8Se@roW6C9x-skGoePStoJ zW<{mJlJKwrJTihf*+X3{!W_Q|tbNZ`b@1~2@bd5QyBA=5_1d&5^X4aD!wK8qr15U! zH|0un_~!Rh{$Wa~zp4~}J?}hiJ9EWZZR!G&f9l~A5#OI%p!=r#RHkw7XZy3YU*Fm_ z(`E58g9s+eEWT@FJfW%+L9#tw)G@SlCbTUl(z@M+6|-PnPQq2jSN^M1NNwcbi@R4R zWkg|eEyn@tq0df%?(ClFk%N_yomz#onJLN}-7gnkZ*Il`v8zQQY|B zCQvIsx&lN$oyfd64VK=3n7k#{#f3X3e*bJi&RWlpkykWQaTdE$;JP1dspoPu7_ z)ojW8_badUI24WR91*I`z%98kXQK0zKHg^_u%uo^8 z0l_@%04s|K1Re+99axXw~L*hi3VErR>%MVIv^6|+nU&R1} zq6<5_aElkZ$K4@Wa1RNq)M0v;45^>`Gr01|MDRh)or62m(1vLz-r9%~6^&BfW1DHN z6SQC6*R8@bPaxK%#EVqWUvH+hf$b@vwMeFRsvJ|u2a-#zuO9gx^!xKXF9-V_eE^1g zLtf6g@;7M5(sndikxdow#4ra&{_(uq48(R9u9naHC&y#PXlvuo{cmaVmRjd7{9oW& z^Xqg{xe8KZpI$qid)QHTulIrB++*euR}l+C#FAV(Mb#Dl`hI@KZM8kk1}-BVzb@kiwvi@T(c64oSiWvw> z15}lH2(mgYSt$f{cb8knv*|}1Clom4{!1Do2I)G;YGKl9DW1wU?)lAgHMgg?-t$VA zBeQsCs<#E%KNgo5^|K=<2Tuq;(TJ`bxSEPI zRi^n&nLi5}g)%SBo}Z{?@4hZvqE!@Q9_KUV_EwwMI16%pK302~#*UuuUtQXM56ODG zf8DPfU7cOXy@rD=gdhks(NtjleSi9x(NT}GV`0%2!!{2QQE3=FN)5ZQmvUKnTlq@; zaX}wphw@R<-O2ufpmnu~5-NS@WrMYaQbSMwtW{fprvY|?7rb+r+x7j09?bY6Cs;MNI`;)?L!lG%fD7A@{9e$DOAK@|-ZA&5swkP(L}{mqws ztkipJ^!(m1YJ~O>+8C;r;-G-Gs0JeeWDe0_dPKXTC?;d`XOU2@SIO`MVBBf=c+o=- z$oAwzEa@lJqmL8Hj1T>k`hpdxNVSviSVY(<@GN5;7T;kcDt&^BO33i4@8uS=9G#%U z5P&G-aPX%5+d0D zGaSyTtk@dg~C6V)vq)vXlOts9lsy&SatD+=kd@(|`Z5%(t%-x|-yns7MGrx++zK%l zeqAuvWDbX&DAtiY=R(0IOqlJIxxURGADLP?dH0jP%hyZT(a>05AuRTh=qxWc?TA`D z=E7OIk}`2P^03>AhnRD8h}o&%sJye1z(m3QNeByeKmu9z*d>Cgfwtc$&RM*|B@%Uily4zr z45I`!FzGfX&;CY`B63eG>5mRz;fRfapG#IiAhPC}fLi-TSGiE^5sh&7@5=RU`T?zP ziD*^R->=3DflnqB`uTMiLcvc#Z#16d4~Eq2U+3Y7x9opNpyLwW z#nPlQM1`LQ9y^2R%x#VnDUukF9x>lOewp0(8**{s z^JdVaAYF5v^?)e(W&Qq3UpG4O6nvg2LO=*U@l>M%$PghUp876uj3H#4`tB1& zY*X4LonoGIga8>8poAktK;2FyJ?0MBG{!K=CSjgwOw$&SWRz@TLj!0rPBz&bf4sK= z){-8zosvze^wuF+rCF$NG~#G;w#f8FqPOEf&5@q^jx|c0Zw)`d&bo(joTeqoDuE6_F{WPZ__*>xP zrgZTn_WF9^$=U+$yF>A}iWzC@(z-CRgd7{PWC$0s z#1#ippf}Nt>WqLQM{yoKQ1JshkZA^=&M)+Iyb=fIlgDm$g;qAK(gk|XJA6MiNIS;} z!D0hN$f#>{^cm6?ePgLY;Ok*T^z&}<^Hb8m?K{#urXEel6M9BKVCv0+;Jbmu-NoaK zKxLAT6Zjw_FzYCvYp)<=-r>22Qjdy3O-*72sAbVic*QLGV=|({IJ6*DGXxQ~N6S0HD~v)JRqQY*gkV{?PNNF60ek@C6uv8TVTBMl^wQ{O?xjd?YiGawwkPtSUmt`` ztD`a(Z?rzIc_5&fBDX7d+|Qr8-h35%Qasp5B6g(ta6%%tsm{^0lXKIEUQC1IPV=Yv#800gB7nO%v$$U|E*4{=o&^;SaYfjP z0m%#o+%029>7>{=^|-Yo%wH68fJdl*1d2A^kDhh-fF_whBVvOeK7 zk(dPl%mN^DnZ^)&WMF=GK>}fW#|B5*n2<{O!+MYIFG^vPL#lrSpAOeVPcV(PgG`S$ zymXIWlr)u0B{R=oDC*f!+L*%6Lz@6EQTS{$5l49-bw0I(|WFew@reb$zy46MdzjAx_BoP|L7_7U$lR{AMkivqVas zU)DCut$E{LQDV#N`c=JNGPL;GVzq&xa^fZ>RQ=ifHc77|2l|b@RS>whV%G*dwql+ zGFa@g*~c@Jb`T@-`G}2kMXMX~%Hn+w>OX2#4T9#xd^;uyOS}(+DMI~W9$xc(t0Qri z^WDO|YmRnWAg7r#qSVEBYhVA(g=c5~45VwwU2_x~o{-5WZjTrKhPl%i3kQ!a1tzd@ zL&*KHi#tr`Ecy4q)mc&~!^z2*gfhMzp%dJ4w85et5y@8ZzT*eAdRPbCkHe%DM0dhb zC&J{BlUcp#G*g)SVp2)PlO_BOG9D*rp+gf@FjsFay8UfRk+Y zyT<~e-HXPWSda|T1od}n9`RdiAicjNHS`9|pI@~sf5-GF%*@Af4on#k@`kO4C2Op1 zsi$ke>~~6UvO@-UY9#O)tn(UZE5}gMmv1H=`w`jh@mt>b{wqjWEPmf~}H%p-=)t1@4Dc1Y#?b);scw@4~v=4lVZDbCn z@;R$Vx*6qxd|6b8W9Z)45qc=C#y6TaAGda@K2p2qK4~u3Nx4##6OU91206XNH|(ky zm>BQ7GLO9|fy}3|_Gl&CB_z!WcPxDpFTjLGy$X}tsEyBq`~3AD3RT;vmCu9Q-Xu$# zb1;5~)3k@%-uu9^%kOxb>j5mgl==9kI}vS$n-qwc;+VL+NJn-MZI`7+=BJx3qu%Oy z*#HU8c{9u_r(8hJui^8%3MH^ce2(_V;sLJE%(t=uu9;%}bEaw5@;sjC2ify-!~^OU zTyetzo0+#F;PFP}(m^?#->c@Rgo|lc_Hl6>bLCuqaRYYP^apGn9Il+Uos0Vu-uNAr z<@m;FnU!^yxspq)YvP}a<(l`Easlr`+WWfiqe#XJ7iOl8U@IKXYa%tkdG9CSJ4ZS3 zg2HoWI5XE@sK9{SCT^lxqHe4p0FkXrn7ZlP` zp*wgzh)6*je_@w}336xkHBvn7Atr~>+=csxN$`2-->(WREBAg4Id;)qTWqk#*dEc2t zi=#M>Nyqaxiab`s_Q~Tn1BX?PBL^Z zi04Ji6gI^DJRA{75Z_q}63~#x1}LDTY~k`2E*Z02Ytf^PPp@@*fnJ@c?V3A;OSfhHXK znjQMSz*>T=&ml<<>aURjkQ(`*8b#q{elleddW-y8`&dQ7nLHjr?(Vbk<1=SUw+(<9 zGDOYPm9@ZO>Elbdle0i!D>y^h3AYzwgUnL=!S9G>E*wu8NkfU{-=9D*1VD}SqeP=r zpej7RQ9pGPZJy9uGi;tRk`OnViS8%pj_=+{aOb!G`}{L=A!Zj$P9Tz#@#^%?0@YBm zayUA1hH<(fK6xuHEOT4~7ks0n@(=1^V|ba2q>|{C#_>S$jMjSov3d+r}E@&%T0fgiROeoj|M%ISV??URPTEIzsWX>z+)Cz06z@4{4dX{Y^%3iDxIJ}P0 zAL@Skw4`%;xTz%7QflwsYGtAlf110&Mf%{|_hr754Fz_`Qr|zi%GTG^{*0{g#lPwC zp;xXVtlQ9qJo7MGepGw=5-W@@M{at4TuD%W!nfQ2kXCcM6gL&e0-)9tmYaF!-J)Uv z{1v3t;1*=3L->|CqADGC2r(@9)k?zxIHtOGMbZA&$&M{CEMEYnk_&+JuiS-%<^z{v zd`zBsw~APRZw2X9{#=5a?y2O)9?$%rmRNwiHR-Pe*T$s2m+ll!tpCT=I|gUk1dYP6 zZF}Qng-dE+i4TaH|LAy1q8T^k*{mE*v)7S!6;u*{-!Sf>$!aJYH4MIZp&hMugl<_M9 zE-dKht0ZKZ0kaSAp80XE?cgIv-yC-@K`Q9au$F6&vh6M>18+~HONQJo=$BO@Pye{$ z0O-vF``mKxIb^=woFS*#Z@!5bMe0Iuzu%n3WMWnzHJfn*9T+bG6 z>ot2h3O%QB^6!|cLw-K!Ed8=#i8QC9&mEt5_?G_itIkH}x!O=O7ya5Ti>ml%%jCGQ zj%+F$Zs6MIHWfVtp3zfcP!cOSbIH#+(=HV83UwN#lio;W$gTBMN38~=N z14_!!U*xt;%BMiy)V<6@26Hdk>3#<)))WQcdHkQot9Eu7Fdm#!0qB`qrOx5|*N!v6 z0vqa8^0n2p9r{^8ns=bE0CNG+m){+X{}%J~C>VmNn+!QFmGdJg@w~8ZI0rll${}oX zT&&Vu4-~|Dq*E$XBjyPLB9yTxW3Veb2?}p4!D_HJp-reD$ryUBu*h+s+ZOrv^LMur z!b>%DWaJlm8IFR)r=CY-Kk%$wqWXT1m95ZB#QdkL!L7jsCn*;TmY7uJe|Shu(k_XG z`vUAZN^Hcs74YDnNPEyKUtAyB`_zqQc?ny;{T9@XBC(|S&Y+JD}O$Dy830aSrp^>-d>Hz zo=kKy6&pu9+N!e#diobrvMtl^kH^n(}|)R3bZ7C$5#4OGJ;zlz*Nw+Ew@t3KkNZuob&eIbDRDwW(N7AN zO|a53bW9yHa(-sZD>CgXekoyuyC>HbO^fQB&y@Y+Z+=_aS89}hUC-AaD4^E7rS6@; zpm9&uGl@jwov33GgCR0Ou)L`7Te!KHF^QH^8%cNmuP`l4(iU&~&8@#s$S`DU4A%qs z`tPEVAOFxByWu@vN5mBtsLpR>vlNf@m%Uibc*{Y1Z1Um+`zJn$dzij%n_#^i4g0MTrnePGQFUJ#m%JG#&BknMN6=k z#2Y{5Lfk8anBb$}(pZ601qB^_!XnB1WLNhMcTWpcH%1M5ho>zKd1)?G1kr|b31u1H zG8_i*#E=pUT%iYr7|L_mEtfOV#dnR4EL&ei)${DCF{=FRD<|z zJ$b=C*TUgV94msM3X-RL8g^@7c^jT<=ox$VqZE)HTO|u_ElO<2tvb1aBw03`FzPY; zSM^w(4#QR4xf&DBg`6A7g1RgARNVq&L81xwo6+UpQ!3JC=PVJ4R^|bz;X$c0x4gli zek9(4GPOK=H(ZLuAWYmkr~cqrq9&)lh}a)+D0)>Di^5xt27EBIshBuk*5Mu>|4>zuELu6Pu* zT7`g*k*%+9Gs3`fs~j*Cp`qt!7%&89py#-*jEf)6sjLT={pnc}O!_rAN$lg6SbpPxg=SGjd6MSHx?R z1K;u7fFiXdo29^_Uw9n7v65xab(z=wdXMt*iJAcZ0+kp|oeQ`Xa$#cbnH4ff4k@=# z(=|}D+w&j+rRw_aB59kvp6LF(-!r%Z`XYCbC>WAdg^yf?uZUJhQAmwq0Tb3wY`JTI z(npZQlIq+YhP~)*rLOi7zXwWx1d2R`*~rr5W78XU)A4duCnLvQrO!*h9C`i)<_?0Kn~5Yk^6}KmcSI#)%=xfDlphUzNYo- z-^anqHLj6>Gp2Fh?86z1nJ_B^R}qWn1Bx(*L};ZK1U6CpISCCLaSj=ln53ye3O4%8 zR3bX-o&vaEhb*>-)AEQ((fD??W%QN|ok@s1wYk46NRlFRM)Lo#Fz(-TI>E<2 z;9Yr@nh@56VwQ)-LdImqb7;gRMt9z`tzXMN_$x-vUmIm^p3=HXaPwGO2Nmf|qygp` zO)_Pl$}nHlgScuGka?C)whyr^!p zYh0i;5YR6rU{@3aO_KFj&ljl!E`>-y#Xy5Fj7%nUVgAg`@k=tZMVVw9YEP&y7}!{x z5*Ek%f|TI6ibqmF(S+tC94qOkP}Bv3)biAjq_xoSn#Pt&6PavNvQKmcRVvr(WtX}U zndpW9n}Sx!8d7%2lj&*FSGcT%b;%yT=7{bq#sM}>vO8Uz<;fnz8$|aT=e;IrJrb`I z`CKhZ4854s@9`7q&!j2LHzKq~+hNKhfWdt=*Zzx!v?kMtG+|Z`;sj*W2y8`GE(r?V zwrL98`)LZ_6NQPPi{}g%jx`Ld-V17aUn}KR1=^DuMT5Jn_<{Xjq#?t0!f;VL!5CO} zviSh3YUu;NTyw7PH4Sa!ksh4t=ri6FX2M#QkwN7V*DLW?$0C!dp_kcU)k^_WPdAfj ziSpe=vIBhQF4_R?o&AMC|vX1?<0 ze7`Hwka{Te|sTFp8^$Z`Xb0TT^Eej zr+ZR4p!lBdCpvBhcb(T$iL~HYRt<;IYnEN4z}-2E$@qV_>FSdKLq2S*i}w2a7+I%i z%FB)=jX8XqhSAzAj`{S_j_%jb3rNaEi{Y}E6Zwk%XSz2 zx=v*kT%N96==_Z*l(86yW4^O~bG$R=+UC5pD`6s7aU&rylf$T@26yJ#>b!W^|8)!( zIT$!VXjlc4k4cYy4rSCR$m0 zj)%v(@Q+$Llp3VOF7L~L(h;iPndenDUMsCKnVD^s)eC*^@?nDhUPoB%BfdQj4@dk! zxv>dfRZug|2F14;9CG@(mFvO9k8#}Vi#230MJs0_L!gP;Rqum4F;-+U^&NS8^myEO=$!93Q8x{*JZ_fq#i^oH} zgx{hg+;GHV_y>FK5N#nub}eBW_jj&tZ8gMKlVK;w*+p>X@B;jPAg#AMzRH1dE+80X zVhy@`^B~3Fbjg^@=aJ`rV$$7(;&(HV`K28L+!+p66&uetOkb>~AJx&gPVhw^`m=3E z@vSa6W@*p87SJBO`zi*O;K|yI+0ELN&^rd%!ZhKNko}=RAxoRu`agI%-;KpHE$eAi z+F7gkDeF#hz2xS2{#%J9oagCNJ}=(>T&xE?W3cy#A~>mali$kC@9xyfm2@y>{EmYK zulLb(^?DHm^I?Y69D%Sh|LPgHn9a}PC zgnHQgB!4?3`cJeg)1PE^Y36k)DdyZYbUOs)yc(fbg>P0YTA%~?(L+X;^uy4BQOIdm zGd^yDfLN^g0N9vkF;7L%|?Dk~=-B7BC^zy^xl)Ii^oPJ;Fe{9g4eN$$$8R1sHXfqTTlKiUq8wGzq zJLF|nzb{Gmzt9DZV{n9%k(CR5^}#B0`vpSs4VYCV?uvHh0QFw+(i?~GZitoe3{FQ6 z{@?e*^`~CR#y@r+KL}x6sQTcRhVh>I8BHtIu~{*#&%{GD!G>ilW?F?>q00lE_@UB-}90_EUIZVw&pl>M5g!xoW5T!9<+XS+g9qQ zwNfo$D1)?fOWx{|i#q2C$#`Hd<5*v>hyAVPS-d&!U=id_@Sg`stMw`gkbGG(;hzOy zzroCvMyJiz<)!7>>CFmUyYlFk*M*mF4_P^eXT4RYYMoGHJM+;TeJg6KUH&Il-7~BW|tEA&wd^8 zGX?m(Z0hut02;!J>Pn$x2X@e&$DkX);tuN~-i^yw^QB%6cKC7Ag%ap{%QQieL4mduZI#ePF-xh*o+6_)RTEX0l^^n@(* zuwccO_b?sN6L{t1yLA)9y$S8(N9do&~HhP7UnGK=Kt z?d$RW^6`4{x<=8np+(&diLRh&gb|Nwy zqi%VkS+iZ04q*B8zva>T+9egp8k$8`c&K#*I`zDzp&9$%#>{m3rbfrBYHc1m03*Y_ zi$cSWS3r*5w!rr>!ysY$6rUpZ#&9I(T8FGEc;oV-bxE$xmT6VMTFZ|0QDJKgUV|fp zYVGhuvwAxg9Uu`)QSaqac%;0{Jo>GtpVB|Ttl}M?z8f!fl}KN*=dnlFP>|md43E6E zl=-{^3j9^^gdL~+XT_R6iGU?rP{7AnHUiW=k4%^6wh{5@DBu_WO-MwOFfz<9I+7jm z_#4o%XOQ!Ekb55g52TgM8-EQ#LDy%JPA`&eK9n1x!?1}G<~9Ak?0!M7dYv?kN>VMq zKV_lSW}NEZ4)wan`abiZ;g&~*uJb7na*69=K>PK2FS^xk%*$;!aoK9pDke*PM2&VL z|Iva3K_j*gJOuL~D19JT=BsQtV{uS&L01#h8h_cGi72Q?W+Gkx8Y0PJrV#(WX^bq) zv|%VV75An;k~tinbcQ!(x5^o_ZLk)K8w8V8ZS&NT}1qoic_!T5nC z^udZEP@!mK3qm-8B}~AIvQeSD)<2m??^^;H^^en^aYlBO&>^6Do1e0rEpB9eZ1>Pstf{Xnmz=i_MR;m?F>df9kH3w zFBmhK(Wc78^d>ouAw| z`%uPGhH&B&$dyZrD{G*o9NPg*o@8j$MC~~L89d#8#ykt$hm8q1n}L-fl*5?CMPMTh zN0ujv39bcQmZX ztVh(b;nCO)U6>nyCgd(pua$z$C-iNbY4Q|G^0=Tk@1P_#S#;bY&vO1F3;qz>&u`SZ z2?foJVXhEP7B#Iac4Q`E!6AjH5!D3x>lqv|gEKCtGSpuT7&S}In~pXnhScjuWrpwP-#$^&G+U-Csv~pZSl7>a#0N1h^#PTGwSEwL~0T zLsT=tg~iyhSVC^7bu-P(Z*r(_-(y7YM;4M+^Dss?y|eNPId6Y+?tl_SPtv&?D0(iX z=&(e9Vsp@9luDL&K^ieiXobgf>Ug$s!1&o!M9AwFRqzc+m&tV>brPan*)2uaeJW{| z_YvX(j4pH6C{v<+iJA+F9Mw5Ob}S|y4u`;=A=#kcosJXmoviWk+3t}j0G?`(pg}~rUbd&f@5SMT%*J~*BdR! z(ZCdS(0AQUEtyDVEtA9^9veXAORmw~Z2!6)pmIR$TJYt8AIGq}Vn-qi|UYy={*bmJI61hm`!q`+dBsujSUxQdYO^4!! zfVLbW13Rc7$S)6R88q))saME4Y15eNQDm(dFnjx+7e{C6H0bHFdD~fGdz* zYAy(2s}@aeGR4*va45A{x_!9`5=mB(F{%XLct(cf5r>P6$l9q3e=wI~8DwA#!|J-s z8V3p^PUv_1IjdUN#e+$4;(*#;|BtgR_fDVxVJuExU6#Nl;Zq-nHrpGi$x^dRQWen! zoV5sX9VImIUP=l=ID6Gr%xN|Yt%n^0P-*(=&KKX|6iq= zJ*c}Lh=cIxlUgOD%GlZb2t+Ia^6(TN;{jw$W8;&5^64LtHD(gxaC6{?9|%h?|7YYF z&{t~|i1%&auwV=(CvpLiXPL<$>w94rGF8|>I%+}I#X!1rRE_aDvp#u8=cHNx%^GTO z2{F1@4TY{rfNQ-ss9!dhnAFcGR1otD7gx_K3eoXJ_A^N z{?aKvvP-W|K6zCE7UNv9GLCCCg&ZC9ZcZ*{*FPE9UzOtxT%;+6HbQe5{ao;u)SEiS zt-Zb?KGX$Qwi?2#J55kE+@?qyY`Iz~Zss4xt}l_vtn_G_^S%x)0!~fd2CS%55apn@k=Tpr&*^vl=xx-K`iGxDs)KAM=!&@JDTC19 zf=+LIBk~WWAprFrV#9|`SzL4PjupvM*)1O|K`8DV=}RN=m#G)UP4d6-T`8iV>KI6Q zg{HRG=d`o~c%JG!z=J?sC1M*lLmTQcvguo>zDJfzJAL`3yBto!7^ z!7C%AVq$_@i8=HbM%S6|LUy8ig(E;^Jht20Dd#M(v@A<7rQcIck#pe4EIxsPY8g58 z-5W5Zm8_``iF5Cxjx8&43!(DTTLYarH+!*4hXzaNi$)Vhhu?*uGD9(wbwH3;35llZ zi$3;I>~9MfLQ_(+K#PEAV@|F(pAGt@lgw(fkkrW`@2k6LW?PA_4Va1 zT4==Oyj08giN75@h=Jm}Q1CBe)GM0pIw=Di1J^H9`bF)i#Llif8k%Ykk+PG@pggY{ zW#e9t_H*LATyd-UF{VA2r20V|`zerlh*Z$%(Cv&HI+37jg2xeQhhQ8HbUnL+CKk<< zhGp?FZzQs4WE|m2L9eIDlp3}@UFE3t0V=Xx)u{D~@OQWa{xO>2*kanLYGPn5xfD&F z#mmHLQDBcbI~@JR#h%nsI=X3BJ5=}I*XXPQd+|zSNpiV$eD4nyi&NenHP!BHv#pgO zf-UQ8##Vm*m#TS9aLZD|0sL2I>l8%>d~)?i@xsbK`~8WfnPSkUf*>|F-KDaAxdh6h zrU4kH-``)AJ((nviieuV9+f`Ys)A*ZiHMi&qY|KC|NYNn5Aew+Ofe8z9=0S$5ZU1C z_38!LGN0+)qqx|^c;Z1a3YeU_C^Z(+V;LnwA)M3r{kMn>W$ypQ3qo*At`m z*6~3^82Fd5ED@TYFR9&9slMl1a*fM5I`Gf?YhIonpT{W9)yvVl{Ia)oXB|d+qt1L} z>i!m{1^{rM(mu;a>xzg3&gI|dck77}k>0s(KLzsmBX34C>@ykCsD293n3Pv0Sgj$e zFgwn@Cj{k60C_*gi?IJ?S=*`Tv=x*6vc(dA=i5gN^$`T1;@9*293AnB!&br_>y2Lb zAk0|c{xi@0=l&du^+!KR(2+s1{vmE^0s|wtmR6V;f)(?^Bg_9yz%X>hXCB3&F2!dq zMoDbm^xopynQsr!8yho$bX&f6I$;93|AGu9O_I|8eOMn*p0BsU?fzTa(K zMDiy^z&i^+J^5a}?v@T;?-9)P;m3URA$Vnc0Z-q#i1M4Tk9_omS=d)0s=|HGHN^y>LJCsvdHlH!(+H>GTLw6z3R6yt`~_&QI?6Sekn`t>_%jpg)O7MQ#9 zv{ZymBeN~TWjaI3_TF27uQ55Rlm))fM+dvdH3Z*J@@y9JSSqIF2)jb9)PrOQ?(8kf zol9*?8Zi|OhREmPOr6^A6)C|TOsl{d4dYF%P6@kJXIidGw4cyKYl#_nPl+0ImFO9G zZ;N0}HqXV+Ge46fj(=hzjN9NNY^BV1JGI2=mHGMde!i8{jU>KP)Yh_r%Z<4eA220R za|u-)953p!nnjo6&v&6xUfB;0IF!`yj?%ZyUis3WaYJa6*)9JY8SbE|+vyn4EDwCS{+Pp*rdTGBfEJj~N}n3^Hw`O)IluJOnFtIh60sX`p^Fk82{aRc~B!ZS(U zJsVA&5$l7fE---8wg15|k9?3nF(8%fKbT4lTDK(%yV|LWIi9x4rut&tC1%jXPB!7u z^rNWOL$IaR5`<$LQ(`Z9_I?@wiZ3kJ^rxihc+i;0TvXEnEGK{bl z`ZO48UHv|9Ef7Y~uC4)cc;v}e>o;CN%G3!!@bI0*KQe5oc+TGAQf9EqAb=oF7^zBY zpJz)mW8L4{1nrsKm@#V=5a+5}{$s>kn< z=~1|Lhwq#II%47@TX|!pc*0VDrjk=8$j!Y)uYlZ6yJ`(bdkDps05ak9EsTVKid#mB zyso&he7H+HAC_{spNDMd`4eS_1R{$+BR7nVPm?x#c@tfZ6L`o&_~uRIeb$l;3W#jE z8Vwi2`3J&J$%l1!$N#v~%SDSpA`k%d!|qQHjdq#Bs2IWXIC;--At;^0*^GnwjO|mM zn$UR@BU|gBt#hvVyM6BI?XsSdnDul8isXoGt%-@iV7!H&ugHHpZk{Fubn(vKN#@$V zP;^4exDtyC8}Dul)5%gXeMo>aIP2v!7(b`bi$a+o&0Is-toSS-B&e(th?Jz)<_Kc^ zCH#4Ndqk@D!}+(a#J$cb;3uB*4UF;TNStDA=lwthm1Cd&11-FwaBx|TIT1<&x^jO> zez$~G#LK*LB?_BG%_)6GO8yvO5o^wUbL7edx|K;o%`KZbs0s>#M9Vqh8urhX%kyF3UgJ?+j+CF`_ z{ca}>3(7w(Ply+vK1N-dUHxRP^R;)ak3bXuEu@dedT3H(G5-z~_*r+-+B*Zto3-nm zTmgo>e);GAX>MWN7&XGGIa8v>aIxKSmnNqsI9nSwMzA7b!&UPsyIJ^&M=jVQ9#CKV z5GUrIs(pEP1-PK9K^4gT^7QWM{V~7A5b>{-LeoyFX*2P(bX~TUqB5b(ytVKEZ%jzF zlVscyn?mEtq``hTMnbkKWWu_WaLTyrFT0WG%1p$4jqM$j4Ur)6k!V949xk;kuB^8s z7{4KTNL)N$*V(26N`s>1D(o8f-@xkY*uy5h~3YvL=`Xa&9oXaz*3Xazl% zXv^IkH8WaxUkMVo_$=*>a&EuTxpWHo%Cg$E;Cfm|92dxEIMeD)o@mW!14rd8CL&h% z>#puv^8lj&0cU|)8eiMtJY|93#kScFnNOi?lZY1Qf8$AH`8aTj=eGo03b80a7m(}l zV8I&2E%T@)85C@{-iY+vUX}3k0$9&hI~Kq+uJ(VxkL1KI2Zb5Ct(?Zdvx6R_79!)s zh{tY0fwBe@EaF4Y`R^-kA%t`ZExOp&;*C$YCJN$n?Hq$S3&^5Le6p2{eL7zXog+xY zC5##`TEu$JK@x5igZ}@(;SKYt1K#HONvezwy@IEMq&W)3Sm#LCSO-`5FsHeoreg!f z-P_x@Gm#;{Vw46s3Gg7#E4GT3E$yb56)LbO6C#?$P{Bd(^tS06|`>ZEFqlZOHX?xU2 zgXH)0HJ#O@OqT=o>m{lHnJUpa>>Fl-pJT@H*c=7;S(lViLLr;!x={-4XD`NW@~_j_ zc>_GTt2b7Au9_FgJ5~`ro7M>K$4}JGE#u0*A1;EB(&1V+%KTIA5p^Hbb8316!nCwx z>KEGbsBEJgewMLnuva>rD9zR-0DOG$<1n~nIsY88?MWsq29t})p~qryO*2+_ zJz6cUzrQ{?dwd!x@i{N};FW(781RN2NOyNZCR{b(jh*YuHgL}+RU@JOr~;Q658Q34 zVpMlOU$rm&z1Z#_Gr7$2n}eX&D4{v?N7TEsA@S3M75Vr}ei>NaPKJ9~N&k=%MDu_D#~=Yw&Bv-G891 zP(X>Wz_bwBZG~2jLEK*%j-jap_2~I27SgBNNJ#HSeGb5bwdyx&xkIUOh5dskW$QTO zhk(P9NGRe-tYH(PBlTcS#@e{>*Z$F*L!mn=KUF05-o`DzTrk!@8L}o(bF_kC@gx`q ztxQqR=;W*R!I%`R6dKLos(}bbQ1V0}2^c1PT(VQfmLJqQ2!B3N6@-?*E*&{ltC5C| zu<(E8oJWc<`|kQ`!dxT?>XLQ8xEut&G)o-~*Zr|2=*?s!@nyFV{IFc`e_1XDy{nP} zH&v7^;4KjJFyCOCuaY*>s=-Z+1%`weDHI1YGN)h)l@3(wa0L1VA;2l2gBCxV*uzHM zqj*s!K#06$k&-npDwRovL2ioH{s7$~gLzHG)1lgVW3+GjhF3@&j0j@ ze>SIQVoq^Qd=}O?VUK1dn%^={3qHS1D{|bW5{mw$WvS$rQc&ujf>wnfOzu%%kt4Ch znKUf~UCr@0fQ211#$EbC5eY4~zF1&BN+CQSD-~Qwm;_UUrWP>oXnVupjaKvI+H(Qb zKhWSZMn4AIZT#!?;#AFaZ&kwdz|3WdK?8#eZ%?7i4DHNaKD7}#VO0vztsaHXe85^% z8^%WyTRl_5zZx#&kLhQZ%LrQsv_~5&Y!NeG=*68F zl`(cqNxXfe6&Elk3G^+B=gf5~`NxvIbM^`7&PA}E+j@jEuy1!z5m&uP;HEh1b$99HiBC z3K>i51wR_@pw1-IOcs~5%^6b1xt};y8Aor%kjY64T(87{f_ZKF<4G+kCjnjx&|oZ-xU@o9`#SN}V2w6%#q0 zz`{}&8L7%Y-m|4k>eo_}NtnT;gAx|gtO>A%t|NZKuGN<&j#E7o{H5F80M*#=K?nFn z+U?J540(Xuu@F&5I)~#2ltnlQ>qUFe`VEgY_-V=)LG1!;iA7qI@7hIkVtbFR7S=xe zv3JpvIS2<7d`q=^#D@&Up}lLB$_}onI-yVDSaG^dnI@)pu_|z*9a(XL&FsbS>>)NI z*o-~-PCL9sq8ZVhw_v01(N9a!!&NB1VA=*wc}s_*>Ak}uhGp7WnOK z_$v5qSrBo51eL=cn{2X$OHt0DvEsCtemQpZ&o!3*W3{JcMYe+z$-!@i@Ppmf7e9vO zqF~B?=;p;@ZMY=_<|b1hS@Gx~NEYHIAQGHGx=cYGCGu7HQ>VUQjnS|}1$6fI=rGSz zOs8^}Obdlz1`<6qfADGW143npcVnwb7d=*~*^C>^sESCF_&TXbdEKZ&rl96kOw(%P zgBWB4Msih1Ibvoq`AMkM{<>rNzMYJw7Rp7AN-e3F@y7K$TDf*A21*eUA3{75?KzyV zT(dTn?=zvJ9NJJ+NP^HWsH29-S#U+>pVaBy+*FT;g-O+B&Hn+qi`S)q*i@_)V2=e* zku@Ao(BZUex)h9@R2KVZS-2d2zTz^*cHRArjz0;>@;_Nl^RJs+tJd|1>N`zr?kI&? z>xf2L>(GQ=eNn2qW4F9>ktnL#Ik$qH{4<8ar>UnY$l=RTImfLazC{kPR^H+Ltkfqy zjqm54e1q@^j9bF5ab%-s`dQ~&x`NI2#eHxZ6|7kF^{nIjt7F?%ZRG~k3)>Ipp0SC& zr<_K=h<5mS<4z~8cJ4y*bWGt@75mr4-boUpWT`rlgpWl>|R4-I0qG3^2+?Eqii2m;YUSTH!zG)s8*@61KTt59Nk^j5%Ld^f6Zy z!;=M+n@glyL88>$lXu7T+^W2Zvl4#z=45vg;hk)yrK`suF^{o0=%z-9xqa1?N@y7~ zho{RI|7AFhBY>cS{3o^u1FPW9zUQ}CHm>sHAN5*bA8r6y?a$rXE`{C+4#qH}-uoCq z4gkEM8ljK#+!X?WONO+Kn zyjjY3zL#9mKyg=C6*hLcyYq9qELXA-X|hGNE%3BX>K)-O9G&{^nl|@_)KJyIxWuI5 zy9<CLms7MD zdvDwtd8h*nz1oW;p)lk+A3{C%a|;8cW+n;EbP;q!5fV=^jQ9Y&ui-3ZJ_j?~8-K(~ z?(ewmVS0`qj@G$lc#+(tsHi%$nbdgpELqCbtFAlM6DelWoB)=SachB!()F&zR?l2L ze*U_XwCCAglfMi?WWzN@RNnIz0dD^|k*3v$x+L|+Tn^vcOuZN>RR;IVqOCruvY(I% zj}CX6%|ieVR~fP-y@Z9~vDd0K;0VUpHF=K2xWP3X^J4Xoxz&jl`#7|(L(;k+infGU zsS@k*_^UgYgxZ0Jg4m|xhzX&{hq_=F0VndjL-3R zA@-z59YS}t z&fwRZss_QRX0)CA`+$@X`wgE6`+5fb?yk}S&&L(K1U63aJ#8d|Z7<<6TRd;P3QiVq z+WyAvig|{G>*rI6jX22Gdbmh4Bnytsw-Yz6bodLq2qVYD68{DG8jdTKu=+oN&E(|{ zG*MyN8(OsLP^Xu>Ap_#L+|sH3a64#Kaf_b*aq=UFCgcY^DfI;^Hs1_^!iv9%Ly=$j zY``pURI-dJCSD_<{~YI)8>kB7kXmCta@0{MY5zik8HAjjhnM4TJQj)9WMq z$tc|5ue08^b2v>P#<1RgRQBYuQVt=&!RZO85k^(zxi@J>BwCuuIy9`KGXa3In0)O8 z^Hsn*8#Tnb#?7DLA1*$V9CA-snFf^!(a--SK{``M8Fao3BrsFD5RoA^eB&>@x#S#Q z;lSCD#bav8Vq51`z_ar{053$V)RzFbLm-Ghs+W6x(qDQ7Y+B3w8r(0noNGnXDXE9uTI2yQh2p| zp%rE{$N50*#P%nnkV=Y3YDy*kggCZty6D}LZjC@Jdcg&+44H2?^+wx8(=TItrRk@q zTrNeX=UJMeB_f0beMjmVgr#V7wSN~93{zXRgCHNJoI8XkNh>P!M*!Cyv=pu@N}LEW zb}dVuys11&eTK@<#;2T6ZiazJ&9TcDRTiUzL|i*`Nu!!?})FmCg=09y+w-swM!KF0hJIwF)hfVmggE?GmX zR!&5N;ET}lpdvtNMyQRnHlS29NWuX%wsur;tEebN^u7bnnqp3${4W&b&K2$Ti;#e1 zM>P@T>C{tAMot+_A|=-N!(MI6zXF(hlcMXQhMxVHIhH_ zd-l}es?Q{I_H_*w{QqBICrlF8TcBES&pDuAL|DH3+jApQpf!3ej5P#B2w#{G3%RE; z8kjN|3t1IQ2tP0o3)z2K(W#E>y!!0}kbB?6v-g_J>3Dw%Axv$h|Cc!PMdQ5VKpybR zoZ^-|212vyP^1quO^s{wdf4e@b9;G8r%D)pq_ciz8jVf=NO161H^gRb#9Hv$7o}9+ zB%R@I3scVFuWq5|@mgGl2rRZ(j`f7lcr~2*J6JS^(MMCVY=4rCZL2ZMR^ZRw3Psgc zrg5`YQnl-&%h*kw;?r`?FKG~$s&@J9a^ab2YkiMzAHGjthO8H!KxmAz-_EM#>EkA* zX&TxiZff>UOX7LCd1orPhE?qYW^@~fbpBT>%pegQ}}@z}w3w6dRgE8O)_^)8wA_&5nF7AnCo{>h0L z+^{XZ;ZE4bVj}RA6&#p_C3)h>om-Swdi>vnTOr*M24g+SIMIzlLSa&^E;^yEkKK=V z94Uz#5)@;(m70yyq+1GB<5$@y7;|+C7hnS!Da8DfFT5)Uip;JoU~J<|T5wxVBB6Cr z@$kwS!-IzqQGBBX5I9q?5qV<K~*F$oc-l+|X# zkBzJ7v-g^!Gi{q2Rzd`D8tnH|mCE=4;a_--Y5eH5IZU&Y+Y8eRv)Dcl=_!{AE6MWv z!3dc4Tb*C+(xe|6DpTdAI#t?RCtRh9H4~lWMJ&FN4k>!=t@#nn?bn2oqZl2sLH~pk zs@64*D4jg`{KTSQ(>)tX#u60Sr1Rghh|vN*nhY{87Q=wf)g*0Y)XuxG;g9KJ(t0vY z@`Fj-pp-F_%=y}~N5pSlujQyYyh9e9SACb@B z>v5S>D3cC?T?!@B>XQRsOVy5X4Bl1nkIUI;IK&yl2Z7NZjxfH{I>mUk3^h-qN71ZN zjh*dsC!pskjUoc82bon0yzrQV@?S=T2#m4m(!ta`aTj9zu!eX;@3JS|?sdPP1&`N5 z&FLrwJ(xjN5hLZzo}j@-i$Ff%=TuNOcbc&kjYtgf;7HFN3huRe^rKJEjz%Z5Yimnh z@szBGLNjyxn@OYD z#jtfmhHos!$mkCHZFsR82^KJB(B=pbj&!jTtJPHGwAAwW#ht!0Jid9VF5N`3F^KWC zNiT%qJk|}EzVM2_>ggKb06V;A1_)IgZ}oYh+e#g za2G+bLENttyhJ7_*%Ww@DxH8uVxS z@__2dnLgAcB3ZL~A6bw8Fwy+ZbRU;-CC{R6YJvP3o{@EWA{6~4eydCrY6Fb>|b zwh{>AhGxj)reN=eHIN#yAsjO?+iMV46gcBUlieJCd@g(O9Sn>qGv_PeA)9zvD0h2M zo)|lP9GP&@*bK*|hCha98WB34V=uT7KP6mP7}VdvuMDkVXs$qL0AL?pl1^%Y;hGE} zswElOBt~l1+N-jsP$GW?<<YkKD;hIEhsj#I76hBf%Fs+3n%2B+P_Hm=y#l1Z=$zv)vgal@^LNu%4i?U zQ*@rFdx|EHrHfD!SNhw)ISA>`CG=0?`oiApm{>cs4<%nr&&pwG(2zMJ`5OMmcWIXxgh3B0pyOMLa8`*pzaE9L<|Ck;>}6XXI6lgXr!<`l=jy_6}hh`>ZQ6nRD8F`+?}(ywm! zI=jQ{ppbXw2FcsDra*4>4lr<^l>V-hJ1@x(@h_Mq2uVQRgz^#z@RTpUUiJwkXXz%hNk~y0H@27+Uj$RBW+} zOa6_NoLZ#|Ge@~m==q|#L>CTKLa|P*hLmo0aB@M_O(%M$Ln`UtAId zdXnHNxOIZMwBr9yp@gtnn?ZJ4zqM>)kLl~B-OThHSKZ~Be#ySFmEY^}i-3>2xbnr$ z=mM|FMACm^6ztrTqO(!})eF24+Vvk4qe{TQFndX&!xD1O(v1iIOHo^cAfS>D!D!<@1hBid-G1%@s0JIg!pb?yjNH*q zvS=?=tJO%4eLg^SjA7u?HHwdGJ;1Ke!{POw=5Eqn%o?roe4t&{VI7DCJRUn(kMhI8 zLhqbNxFh=ZSXFNPl6Oco5tw8@*Q%YLnr0Vy$<%P^FtyIE#Vsnc5`RCdU3l0PpyY0`-mXkK^u)})+Pk@*|SLA&h78alYf!G zKDrZJyF!{tOopFccU!!RUsZDN8ljW0W)?%g9a8DooThg>guT?FdmBJErdioen$ESE z|39wYIx3DP=o<|XB)EI<;O_43?(XjH?h@SHWpN8G0Rjub-CY+5?tJ^a=e^&#_x{nf zHPv&rXQumfcUAwYDi#Riz%`Ba-WwDxR?PQsyK&KO%WZqYT_!HR9WaY!wuir|&q7_% zee-(U{qgJa>GmEY+KRrQ@kw|ukW#+m%OFlzZ5?b7WK>(Gmd9hU{?a}jPtV*nx%=Cz zrl7|*a`bv75Uz6Dd;J&NL{qjOLy0+ihdFeSr?5}Av70R7|PLQ@Z@4dAZ;sCV)$k3S#lr~vqbZxxxTO@h1hme z6H#5C|84!JIg-Hny;6TAp2>T`CfsvMBTiRbtA1I=tc4sM)aIm7^J!*$Uk;b(9RSk6 z_l~uawM+Zd!jawJ^_gRP-t)N!aWPwcCCHqK6EYy&lx-HvlANd2s65BzWOCt)Exiib z!Ar@8R_HU*soHo$;8@vVWey{32FFOE@r;AN)7H4YRtXdKQ;oX1(=wgAgHS!ArbzkX zjgu#?3dFt;?^&>MKhLV#;oOgDx>a3uEjM5Y=`}Jzhq87 zf_2{RUZ`)gp3u-Gpb0|p*NL9Cn3}N5BKDMNq{9cARwJXqgyZhgc73;iT&l^(tK%yn zP{qku_k3P|$cYopOK$ub8H>zCe)R`KUZfdYB%s1+&cqG%%??N) zYENW5|HTe!#O#s99afJ`c~t>1-?VB<9tE06HUwr7jz0~Od@Md$tq`Q`5Kc`ZDl=S$po;EzVkpahoIlOt->1 z{xX1qbq);>1?6tceNxK9a%XKa_v()yFU17;D=pnDxhqzor2n5A68S#{ypD~`BF;(* z6-y5Sqmequ>YIX`JGgSTG)3i_#}*BI|36smUPRMny-FJ}hBud$9;GyGk22du5^ppn z+}}^xo}YGKlNCy?h|Qe!s|TmHei5d`&x9;)ziYeCNOTidBX?O71tLxq{*}yE)@8S4 z25FGFlNIubKMG}Kc?h|=lH<7acR-H4s`B!sC0no)14-ePx@|Jum&kVA0i{i$E6UOAgfUVwhZnIi--exwlPvCb41u&Au~98LJ#Lgwtb}2a zbNKc65Y*;^rJa^LUvF#DX>d2>Y`uslc$t;AuQ;#hhf%ZfLTI&;+XB3#dpNpi=CaBZ z0D7*q*jEYwH3Jn1tX4Gc3n-QRa_ARKh7l_T6bc^Leb(j=rVPJ3g1MH;@mw?Zim50o zY)Yy#w_B)dKI&=R(S2jXe_F;O5PC)zHaDGI7fF7b0UHF&W4)wa8*2A14K0a&n*vuz zSr!c`w_8Q|kL)~GHm+Oq2R(Y@0t(oBeI}6>4dE6Y;k|v-g&YZN7szYvJgs=`z=J_D z+01fSrNr6x)d=g(m!-G|@>b9zsc$qQ5?+YwGes-fz1UZMaN@}kxP*WqSCBv`jM7Mf z`L7rvktQk~$08}^3z>}EV>C>^ltaEyXXAuMo8T!Z`X%Y-JyL&qPv+@EWJ)4>3)|W6 zkEDWIee((1EP@;Sb3Zo!=LfVwYUrOzO2qq)l{9umti+deSyfMuBIYmUVG)AA$5%rq zcL2v^cY(UCVo>>~?TPPpWtZjkLuZti@#+2c`QG1$SBkPO@GsUKZa`QB65TR)Yj>N7 znK#jJ)1&k?YveEpcX-z_ad(}?pDWU9RHi9h%-<=G9VPs=(TFT)Bk*AR1>7OAnJ2gB z@Jqx4?Iv(8ejdp|5bUg|kOAqxyu19Zv?9s^ugw33{jxnUO5U2@E6bK%eY%A+ApL#&Tbx+&h#jtB z8!9rSU%Uk}iw2UB@e>*Kn&4j)3tjYl91{wny1QOh*w*=FDfgVNIK82+_*Xq$^&tOY z0om=EaO!{^^pZD?`1)tGg>PR~VUrIa*x<;vgl7*Mko^@oSXhM(+`qDPqb1;%7}Ier z0P6fNcFm*V0q*JPA&n1XH>n*e#2mx1c_|J1-H`11?qV4@K_)50FXe8dvYyRkuvFQQ zsedy+L+^_=5#u#6`rJDM*du3OrZ5Z}*77AcdO!#fsCIhrMcDCe4Md`K&+J%kg%KJS zcjdn(r(B!vxKw{g9+Uc-tLsawy86Gnu1{xnmPA0`-aL%iDP$zB0k5m@I22&cVm1kr z4u42c{n(vU;c;653OfR+p}yzEv;Jh*R5uUwsel_G3jSpO39ajJCB*~MA%1#z10fke zy&3hxP1ZJ7-_D;GO?0deRS>b}ibgGRz^zw%h12oD^55$d(;R< z^&0cyd--)FOYJ9`HhMWt7V(AMpbE)`?3!Jl;B&Jk+}V_ke_n0fwV~gi(#Y(9423YS z_8!o}w1Y~Jp#@Ah!CDXHBqjgO)d!3(syiSv09x7 z#-FXxogy0dpYu_|HvCppNx#Zsw<`pOam${uLdsg;)Yl1XB0i)41X&*lN#dW0Bm_-d z5?tL@@ra^xNVWfi6gmzk4jfVvhmEv5?)tZ-l@1m5>y_hpfbud>g4bDo;xR|eeJAU} zpQ_6X;HO^O3p{clczJq|=>1dVTnrcF%?yR(ze7Y`LCNGD|o)wtOHqI@xbk0O!o zBo!qXB=7fmYLUop3hbx~dE0 zU=Vl=+<_UH>b2bi-J!)8T!MCxVx=UTvzON*J6t*MgoPq{V}K_HTy)Ygpo*KFQ_B@n z-oTc8?ekowB&`sKKC;Fs_ph9C)dQ@UL_|gf1Ckf2QpfYa;X(B@7_M-g}rQUH3k*FUw)V9mH$lhQ`s&-(tg z4FX(NydO~uxB7%M0vHbJ0zuE9v2!gR^1#lwkL$U;b+Cd~HC!ss;0>Zyi{s^=SSUeG z0^8@husyufs(lJ-wmH9ZNgOmFyiDB@SlLDtzBL))FCF z9re2NY{#2H36`1PrVzk|8C*0nPY<-NIZ%GIuUx-IY%MzozBmhEDKny`K*MLUh|xORz}Eu zKd>p5fc4QWS`i`Qd-p;2p!ycvI!{o`P=pF0>?J!#ExWq^)-^Wmr>b;y+f zu2lsHq8#|ER{zhsz%`Lq>5)NtUF1}Dfn!x6rv(gC5%=;L`2Uqq9}UY*w_l%lVIo1S z)>K`PfEZ!w) zJLkG@Zr?;Xg~PbC?%q3uKu=zH7tfMY$`=yc<#Zo!zQ{x$-Y>^Xj%_zuZ?E%@$-3I- zo)!R-b|stwMU!M`e_w~f9^Xd)$j}^$2?}WNw5Asw59lMIx7p6 z*c3#E-ahL_G`0o1vMRTTTCq7+ovI7`!|5eQHsZ`G1x1HRLYjbHp9r)R;^r-{TN( zcp+jNUV6G}E~N+~1Cj%9eKxBG3Brb{n#49J^`bYC8rLB_*}zWt_ISo)5S$B>Cxc+-G>KgH<=q{xe)8-kcNfC5xE94rv^w+8bZqv zdY9D)r-q>fN>PFaT5&slup}G}BZ_jhSWb?xG!QrVBfZ$UA;|rcZwXGB1uafU3W)RI z6!93(;^A67-6xcHPST1^XK9AZC)ejHcWK0c5Cr9lcxjSnrt_3Zwl2`!Aw)%%!Pw3s&L#Am)a1J@n^qY*r zT;-jrmv22vl7^eG7U6u~Dp|TC?Gh4%yXCb|Un-EuGna^Ma3;Uo@L^@>2Y@%63(&}m zT>xh^=|xXjf#nwXh3|QyK#3_5O^iE#e_nmIKld;id>=ezq3h1-P{sOHh=E6F+mH2E zZEA+4OM?q1(@|sKssCb7)aM>Pqc+bCQRfjSoqr_hO8w&B=^-e|?nb#XypU)f5qHmT znpgzmAmnpL1?TXKgdlh57kp-0NVsd4uMj+mhwWVm=plx?VM4*U-*0;}zeCWSgV%S9 zfTdnDm=JAcM1%oK-?Cr^#iKpuU}bbSlY(2;hIBhIQxZk7SnYlDz6lavIg@91R(MG> z^CAbSvc4g=BMV`T4#NXGtv(m$)t@CZa``3LsF-k(Q4quSG{jqe;4?QPcycId`plb< zgv{rz;3pYoLgXFt!)p%3qivCGX5MTkDHD9=P07fSSW70?cchh2mB*RZ#Z_Z|tj7rw z?^ujtEnh&04Qv?Fdql9Dl(8T-a{`WSUFvh!Te`7=G!xkEeBdPvl3N8Al8$Z{vuE-c ziac4A%sbmJqYtz7Ik>HpQx-2E)A}~O2u-k%2}K*?C3Nmsj8(nBk0}D}A8RGlOa1&& z>1kypY!*D5cCTgQ#1x6}0;1+GJo+IvxLg=S2n8}a*A^mqgIH9v?Qa#AUp1e<$s_5d=1GX}mUW1>14AC5e6>@-N z>g4+X>R)5*$sz}~oB(4`;Q*r-U3gY9je(jC(S5J`hKCQ~wr;e8q#E|vxWv-6>X~vJ4Hj87lcY48$^W4o0 z3asZ@tMC?z`{p6}dSc~c>9qo6&Yp&)5qti=yNgP;%XX;zLzq%IxuZ9y2GLl&OGUgM zgIEe{R;s)v=3oRh`>+iQ%n2><+}Iy^v)u>)&Z}WEL8yIjT=<_#luT2)V}o z=JZq9A*KqADh(7J&W27a6okPUHm+IC`)NUvIm0tWA&U9eyA2{lQ|xes2}&2K8wK9- zAG9t~B#az1!ybu#!Q2idVu@rs0e|09^CwX-R7YfXdZ|=QIu8u22+6o!>|n^eVxKA^ z=XsoP)xL#@!M_xuG_XlrVkn9FQ_lCUuCXC?i$j zDN`%}ayK+Q%tSBoP!w!4_n-RU3{xBq7=lN6_~yn#Kv-NVdlhj>c!76h5{1DN<`Q=SD>p=}lZh`6rXc<#*=FWbC72>?1JUMg86-d>B;> z!L2-O6kmw1;Z^ZLR1{Y6_p=r)%YdH-o@%kq1p2RoLUoh4jvPEF#C-97l+DRU8BsM- zyxO%^m-fw&+RLynC@_FOAn5aDK3zV}Jzy!oKqTVz%H}V_$Gpkl zn`+=n&6WIFOQyb_2P1VSL#;@ZSnr**#EhY0h(Gdyl-?V*GGZ(hU1YL8SJO()DP{W7 z8dZB|NV^_myI$RqT%_`Cc{1}KyK3MANI=5VH7WnJ3^s6O-Zy?3B_aK2;ftmx>VlLA zxdK18KtgBzVa|JQ5@v<4A7;gX^uim?3c=p*;F%8B zMhlLw>e>ZYcpGRd7I6gU$bGb~25|ab9eu%tB3bLPj|1k$?CD8J)@4ZQrhdH{ep97W zQgcZUfyDfLlI!@8v#D35v4&XWEervuy8H#G;W3=%}nnE&E4>L9K;qMf}TYZV8H~s8GvoZ)Z)fJx_>r zLf}Xe-}s(1d!x2m&N;)J1YEbb5X3n~AWo8)+-ACRVY3!ZDc15qHShw`Dd;u zuf%Hmf6vfFI+~s=Y5V*`K)z_^9;jrEW`WC4wjkmR624>ONwBDT=TTob{*EPy%TN76 zSTwu@XJ?hX~Da5BN%EMAwvgx8XQ8gWO{JS@UE zz^m^sIdZ7O*jc}jr`TSN{K>jgrVSxSRnoz`{E44Cn8&EL6#WOIPl@?!z{2)8oN}IH z5JbANhdH+6y|J1udH=rU-ZF@DKI{ulvUT-n_JnK?JDra5+X(V0(sV7l)+ zp$72M-i-5K6}YZ)K_*MjzOp%kxZVEIkWP}{Z zUD_lKdbLBu;6p;8kV6t5)s~1f@0gwZ9gJABGl8%|SJl1in$-6l}PW5}L(GWZQzY}rw zzTZL379W0ekeSAwkb7ckq^n(^20QF3Zy=xD7{#bV*R*PAcLE^~zs7nEdGqY;{xM{s z=0`#4Q~TXcnpmintQ)MlFwtVf2sfi1cZFeW9tBda$BlK+Y=QORbgf1ODzshF0TP|3WwLC)? zj8(vChcqhOMhfy8ITtk1yL#u!ic^tCx0;CE7X|1_@*aadU)>VEJN_(4MwI_8NeS7N zH};&PieYiF+cxXEOTE_kcO3t|NS$FD{Owbbm)r4%!}NZFgzkn43C)iX9vg*xa)N~J zD-^zh)NuAc(T+%64j`~9W#RU9Br@KM;)#kO7X9vtZ_EXCv1EpWCTf%b0v%%!N2v)$ zh~j&pu4E!Z0vAMzKrmY^_FbUseDGZr$J!eZ7YJk>CY#jY*Ct~J#R?3~ml)QEVD zB{X5HmoA0cF6b&JFp?wb!IpI)+r}*Eg|fO&9}fR;&OLumN&*r$tV0Jt%;aEB28%_@ zld?wrVG%byrwGKs!T0r{k+L#qGxTA5o9!$%J%y~&?)n1G_!S&mj!?As2dc>Qr|%s# z42|$EmpPV0|I66)^fk`G-b_^~nbE+SMkaty8KO|>n(ND!d4_0lOtU}j_g$00{zPPD z7Apw&D@1lo4X0p718s^^2n#i$PpVM7JJ$}4Ip@P225yUOVgm_K?nMsky& zhzo~EkyxbYUe(x9##_SEDDp6q2=k&1_s2SoA0xTZz>oG%haod6r-OK={VvfbE0x?Z zr*cs1&W`J_zH}dJmRS2&bf&=@jA;{?u@LR2?~*@>lF$71PI1PX(7>=D&pD9!w>6)* zXq*Na6(--DYg3PE_v8pa|61XTM;7N_W2Jpt7$59}NM*kED3mxizp~8ypEr3mct6i% zO6xT(K3nl%E1To^)9{LpL$-r2*CfHWH-NfD3IIXmhCDzQ-8b?XHY@oYF{*3MsYjGPGvuCF>jahGjCC7AOWJmOXLtpSZr6bq}Z8YPPvPj5ZaW&jWx7-oW=f%LQRNRfC5u95rV$*bN! z5f~NRTbc(YeWBJPKMul|8<)}H`4hu(&#BcVJYgf@Bg(E>aUk%Ry_sp^@e;v_1YYax z7@N|ylhwW-(7N!#>i?MJF>Co1c@sXzD%}Y=3U|N*;f9zzKKbLMIz7ZNeDIe@uq*B# z!U#ZR@I}QKbzWpZr?~4itClfp@+KFql=ox8FxtMsKBciWWlpi>A2nkFlDi+FD(oR5 zLg|0-4Ud3OO-m;JZpK6^{EyXNYa5Y@ohgM+?4aW9P$P&=7wuqXN=ab8{I*kGa5_F} z^N0Q`Dt_=)v&>XCU#`lXNoBBHx}@ZLia0C;J%ESQ1F9J!wsha34*@k-HYU@|!uSjt zk*<%?_>5GP_V-^_h`%M(UtMllx7*PLrzWVW`?YGOwM!O#vl|firUumM`$D7Bi^PAn zVd)14jfVJx<_8wkdhD5QX}>ZeLjU7zE)PFqOQ(5*uV0fmS$oWs27FM8(A#Hb=E`!_>!rBWg0<LP?Sii96-pZmQ~)^*O2UAXmK^vg_c6YswH-H}(KVo(91uoMHWNGR;P@{VUY^iUYg=T)Qxa;|$3_ShP} zm)NTcV$q|RL55h;?9Q`NLN0w~H=C4#1`(2kPOl5Pg{Dl2Jd;eKVhJLr3?&thux0|D zEETl|0(81hT+Z|IDOZoPh-lRT-nX_#l?c$-0vWpiHzn~aU7CbnxD8aT`d=?8wwOw1 z?FXZyf;dC{zN1&r2i$5;F z6{;RZQ%n#pHVTXqa#XWQDb%!Q=H3N%JD2OVa30zmZEq6?3HHxKJR9dp8>aCj+e7@`g0vh?1cv>Wbdr(kQT(f zs&Y<{HHgy1);%~A3T(34^`_tmk*{~-9W&$5RvevhW^jMcxu8kcyPIFFq$Uz=T4a9% zS|EHE=pud;f`Mgx=qG+C=h-$tyM$q|uHZ@HzxL2+tdh_ubL>wCf5Wh6;Y!G}OL96HyDAZhj{L1bYOix7 zuwk*v=ej)b`F46=?9~&iWnrADFbY z+%>MD`aOBFd;S&2EEGADxT#60pKu4u3`;}yIpW6u;h%fjYw2Z8jIrZ?n{fsQO~l7xV(t4K2K}jXuARP2u|ZiKRR``Slrz zK?r5cQm>FSlPp(9D-C1Kxup@=2yPpQe)k*wml58dtSCd%`D>5oYNDK__W?rDyZiG3rUCF23de*t+ug==z zx=Y6EW{v)Qf)-+wYei?S6=UVv)eBDl{`9gENkNnM&>mFG>o-mVVfqetSlE_KY+LnZ zZ??pHJ`316s}<6UEA{Kep+0J7fs?l~AAaC~T(4n-@B}8$zB|O{Qrci3K1?4^@t0-P) z6yXW*5Wx}syjG~3^-eE;`o!_M#Q(bfcIPID`00(kGwhsBx*tP_^j+W9R_u`qfLQfY z=zanE14nTAD={W?Vb}9o@N~_@ru--Rbw0My7YmHw3c^2EhMJ6!&zUBx^iJWJVakC- z7n>dxzzI%_fE^o(s0RYgT5!B!80CHTSQ4*f{D7wj#@Aab2gRe8ZvmLj;)PtndY5k; zp?>ur`8gIb+s z+oiS~4}c}0R_FYGS%ca|rfLC6q#hcsBw5)vVS{>xxlBZfkM}X)^6_oMBn?cehq2P1E#$D%zpDrG(9*gskn~;NmtVfisA8!yzFqO4jAp{zaX3s$ z_ncC(UDu`AgN17S%T5_yDXSFLk)dAJH zStB&XflTd8v0OKS+M`sJDqUAyHuu&?uE}Sec38}4y+QDf$L1)e-KcjlZ{eY~BPSKG zm}U>CP`BeK*R7>l)K#cnvMo4tHlLMRAzflf1B%G@ggzxnQ){kMpe<9yG*&WhUy5LexarfxAGV@~ z{ouSl!%){MiCFW{!^TW%eE1Bh!~K^Hm@9@|=0?K)OhOiXi`k{4iJy_*i|PJ%l-Zr*Ux?_= zdOA{;MClOMe#ilA>O0>(KR?~S|95kD@BXo7yLOCHFOEklB7+w6a|cQ$mN ziJx)V-D#8)=2C%bE1Nyv?RH*h3Bn+%h_STI4r3)l$uYhoaO-QmDZn7NsLdaPebXLN z&#Sh_HLnC(Gxtzi8KI67I9r6h638?brfdA#ONeG00(#tc0JXIJuxrT?K>gf`BZU3; zN%2uQ^0`h;{mHcVnfml8`4+UEF4HakjQsCX=Z_Vb^!Q<46^wNM58FLG{c_nB0@$ql zXkdQ1{6>X390hF}+$4-Bf*ma~0m%}tLqb3=NgQHosj{}GImkuHFiBS)@m$`@Je`Jp zluNfv2RzSG{>J34NaFq*vX~qj?t7E)Ni?*m6n*3UiKTO`b}n*mkwO#*jqYdfIHaE1 zYA$^vNBV#4yy*&OdWx_+P5G7YBk^G#>L>BY8+YukE9UJ!_0gEhWKwAsu@s9?nFn=+ z*rJSaocANyR&TTy)86L)!+H^P-A@JNM?~!{I`@S&(j9&RHg5VSTNX>-`WGAC`V}6< zSlmF{Q%~O~+?_rhC3j{NhKoCOd)brC+yJqipX)t!VYki5YrwS((VONiYh>No3yD-5 z2Z7u3^YXW}HVp|MCjhhqe|n^Y64CE0x=$ywrnmltkekmXufw2ODu=xEPl>`-7o88B z0J6=xsi6n>*vx5FM#HW6fM=sFioFO22%68h$ad(;H>Ry0o28s)>B7Di^r*FL2p8mY zbY>yi`8qp>bZ>Cja6+NbLrKOV*W}v9cC@|J^)@X}8Z(nB3S4T+^9~W!r|m-v?s_J+ zT>xedhwWv}EI=e>@BPZvu^Zk3K|8?G_X#e&Y2Xl*)+|IB45W_%miW!*4lFJlHgbRr-zgh87;5ozPrAYaOADGx zEdO*@Wxq1o#tl)l%imA#7*8YSFr*^TEsi_kj2Kgf;7+Dthh@*1GOOuM2Fb=fPwO(< zuw?v_q+}RnEk{}ZCT(}<$y`EU$tV93Pv00ejbwb9-8|q~ednlS3~N+qyDp6`r+6DQ z@o9=@C#d>tL~k}#N&D$#RO@Wg{yDN$*?9l(*)62`#B|Pc!dp~8^nlN+&Ry!k# zB%ej>Z4v+B$35x*Rmz+SFErC5OhDgtS7ay!Ja1yE1dQ#*`i z3Eq{V7`v~jH`FQ7I*esyLs__}+Nkw6vsb%s&*hDDxncV?wXBrpk8FYHAKa~)migiY zc62U~rcI~4vHix~^jao9_j*ke_~P2G=pQ1jngk1gZ>#Np9k|wU!fELt)8qOec}RA{ z!*4l>HFxL5^jK-in>gF3%c*S91Y$i~Q|P z$1-KujKBao|C-O3H)lI2a<)v3TekE3dPa-dQ*9+W>qGg`dL>qJ z`I=^a>mn=q%q?+k@Ye4m1ACLQ){QdZ1gf-~(&2NzvI=M|T;#Yqp6M+VdkjS0eC2V! zs&voCl`~!PxB|}@qbXS)BUqQ8wPt9#b(|L>lM%2iI7BZVwt4cfGE)usPy(i^HEiv472>7{%SSAe=1ke7Z9KFomN#;+a}{K3 zhpB%Zxpp=D;&3>lq8}Pw7@HC!)GSSJ6EG z-YFk*S4G@P!3^u57};TvVeyvx?~?rr3oPiyCOQPiZHXBav6;mHPY;=Mrq`-#8B{P# za#)*T^}`7x&D@im5vq7^{})#W)`B@dJvM)VnpI zhYVS_&CdW#9|^hFI^TPM%z= zhe2$@T`D#A*xjy*xII5;V4P&& z+rF(Y|MzArRU_$DeL75^aUqoU4%-izp9!a_5;0p@ziX-f*_zl+Z!WZ(#Z?nps!J?P zm0qh^JJG!1$|6k1&T;l%+fg&T;mhVe-tTYaX!e_ogMY9Kh|3k;UtF9RrjNMp&&PT> z7!q|3!13rdT%53S)hK*6nwfReME zi#wSn+4DUV#(o+_jsn5fR2hKm%e7S5={iq(d*`L>eEVu^0B2V=;1cW{;P7I~8Ne~0 z$yLLzX9S>M7knX-1!o1l7`YVOL6oapT4yS!hprKyMa?!KqU>UA4~Vl^s80nHA_E8`}- znS#I5ZP>W8679$+yJ$$jMIpWmS|cw1_e#SlZ5qiUHoi3*_OKH z9`Eri+VM@siQ4H)hqLCO;kcan)X@nwGjmk|xtdHF3pSa5tktn(ZNM_x3EF)6=(<+g zxX5VvoXHy9JuxZ8niA=CaD8(ZrNjN*?A`lp-9M?8(M(iFN&r%}&VJH?Y8ANcx?QPm z>Gc=<%tPxV&2O8Q|25x{xb%?qOJlDx8+-#Jp{FCB+AnQA6Z>&f`zcfVSyTH(Q+qr$ zoZ41E665rX_L3*we1BHC35(pAX-39~_Yd|(3socww%I96vPG9>`<}@XF{lPA8~us0 z3Hef8N(~O{_;H+UbKsY2%t!ibU-R-z1p%Y8G0{i9Y=+d4{W< zP#VaL$8yN9;?hRf2$nDt@VW7N0>Rq>b0ji=sCq{^b*#Qs?C_iRJ3XtWUZ1k26j5JGiy2My5K*Q zuucG1vE(da>Hspxa%ok4?Z=P*PUgq&U8c?!g$qN9oU1E%IrrNYnDEwcE9Qp|JJh;Pk zQn=f*Wx<0;CUdjaq@_gd04aZ|fsF`G;%%m3{tyo(9J1@AwUtuSXY{j(nVJ_M$Myjm zVU%pMAEeBUlfQHr(ME?Y$} zC9fwt?)tm6JgqJvt355He1_ec#zdjIx7|?}IEt`Wq)7|#oAMUH^j56&@Bs^qT?63Z zxPnuq^7A}g=f=g5(w_gx!Ava37}O%BRUdHwRl)S4fsexaiUJhQX0?HFsHLFt0I(`k z#{K7~*_j=vs;kC)UiW?@WqghZqhJ`;o_Jt>ZmHNVGBB?BO}0HozM3!HmZM}LLAI?h zmwHi?Mb^e`Ye|&TNzcOj#O`%5N|0)bfA)Xu3~Pb+nr_W)IVoU+gYEyz;4sxfm{^CH z_RYZ-X2Kq9I52h?7tY3`PCe)p#G`JRaB*W(uD~9~{|Z3@W=^Ywl?JoGH%Rk4Q6XY@ znZZodiME;9U^N()D8@xP5LL(`jHnA~R7RL`5qotL{W<)eKmui9J7{i4E5_P1u+(br z(E1;BYAp&1NC{z07_e=LT3G$6nJe&nhO+T}OYGGia{UV2@(0saeh90Ryl$43EW)jv zjY$Cw!z7nhy;jCDOEJ3PN#6hJkEpz+Av0(Q;fdPD=d)8gnoXSFIYn}j*U2?W{8FLY zE1J1lRAsG-CW-N==c6r|a(b-Kv(c$<$)PM9KjU!PBMwQh^KOD* zCN{oK5iYv3L33bvr3+^he_z*R6YvM?Q9G_+ z#R{xNL5qU*Hd-^0mK_ZebxE6IR#}8}l{MbzLnPX;8NBj{ztjxwqa3U@d@roZ6==~C z+0gYBj8Ooa$1|=FfQ`jVrs)nMGuoz*%D>~)2|#cZ56C>;8C+dS;V&T z{!B4aTyCv4(m_^VTVMi<4(h;TOy7MD)1wq@bpxB;Bu`z*6>R2XQcuPCtY6cS6xa9U zi6>g&h9IWtV5~cGLRnZA$-fvaa6wsUif~x7u1<1N z<*pu?v#sY%3TF?!f>qQe3QGg<__b-uE^S@8P|>xAp#dPr9pr$u^cEQ#58NNi`ihSn z9Tf`i;Szs@v&r!Fo{f66aPPp6KmULCYi!C#4^A)>^g95kT*q8-d;E4HRh#?ayo+7% zo)c0))V7Nl+oDK59TQYqb6WN<|HVZa+@^q&NmF%sYxS0Sjn>1RYSW0-cr(EO-b};}>ZOP}3_VyBO{QQ6B9%uN ziP_xtA*RtJ`GJSEEKq>7Tw(JiR%gD#aqhIDwOSASe4i5~Z2x`!1)2Fa-E=%^wMW2o z!z&|{#o7N|tqAJ=?tv?Mg`g~InhHZ$HB`XEs?5U&EX%YCpttM(pZ+^g_aiPhrvVEq z{-Z6G|HGI6ciVi~{W+`hQO1ODw!nRmM$zQy6R^SPeCTt1`22hxAw57hF#DJ+Ajd;K z<+YFtLNqx6@&K3Y-0uX0t)t-$N8E*!+>QDR1vT~>gG42aI!7s3JeJNAg8b3M?^4dM z$oEo403%cuj4>AWQhY~34lGjl z8e&pABPOiXrTm5DWjfP9NbP#4nWl#2ZMf@7Z`tU|m#Sln=>s{$B9eKVler^^l{&0x92AmPe8alKuX+!%f zXsDcwY#kRCq75ygWB!baT1&|u(@G;O%$-s>b6wxUlx1e0K4WLCYf6Iq?xw!qn6Nug zHUjN=fSxqzEKxGg7oTR91s5}F)HyUqV;%mR1CEmS9uLx*JSL>`Gc5D>y>3YpA)RGR z1&Z>GnT3f;gk5QS&K$GZLlcHIVqtM=&!{^)g(|z(fAicUHs>u}+8Kxa73nmi! znGN7hw@AWpyRX2g|37Cg$*CNe75;%G)+>RBo8#lL`Q!QJL(u&21 zrtJbEMkR?UH1?61c+3G*51!EOKx8v-{9$Fe<87jCLnbFv%X7wV2?w7~?>BvoIGC$0 z0-^qZJR`ZheF*r9q9EDc1RKK)&GeC75jB;Sf~JQBmuKs4Qj}3 zHrRQZ1C>@)8w)8~Lm2AcSA=cG_!~P&zFbmr+@yE@C|IM*v~$J)Fvc@Kw3ylL$spn*e?-UU#WY@ zsD$MDKJK6P*N>Nnmy?wK4}0$!6;;q?jgoWDNl8u4K?KQBa*!Yjk{St077&n}bC#%N z5eY&Q8kC$xL_h?a43d*%$@o=+^S*Ou-kI;tk8jP7LR6VDvHhVw2PWKUX z#k_N}c4TOSsP|a*zg%|QO0TOkd)+D{?JL!Cu>q|M4oKTh;k}I399qe(WLu^xC44@3 zc0x}1`S)EM^w(87N2bc>DvafSkKlKzjDnch=T7U`^j98pWeF> zE@LW^NGj@ur+F7{)o`QiNogI`St??7c=g zf63BqR}3N(ymOBCM_eVnT1*Lh0zv0ApH}2#Fx@QXvdv{e(sE_N<5pW;`k^FTwLvkr z*<1bl%qMs!82LJ#0&~s@z@_Wtpmkyg`H^#0#i3z(8sf*$p?Wb{NV{bjNIHQaa z2g%onh=i5F0F*MncRZRmlvrsv|3Fud@!ZchH>GDOFZMUqPEr^mekLQbT*F7V_-Lhg zd5B8cEnL|JC_GQRye0oYP5Cp7&|2sV>J<-qS~KqK)@9Ur_$i-q|H1Y14w%;r2_!<3 z(|LxfmD|}%EP31%qH}q8ud+=A&nq@(XXot_%JCT?eFCY487AIqa;IOiJv#BTbl=lI z-)Mfe_u_}ZOrn5)dHn@_XwK|xYir2({rvh_S$>_$wnP|ik-$u$)$p?lVV+fjIXp9X zit_1`S^GSx7DL>o!+H;qM|4m_GiEnGOMU#0PD$#Yr1Kuk%$-h%vIQ2VOfXsm87Hkc z&wl;^$%|=S-APnP>Y~Yb9qaevOk8%judwbW&m|nb-P^k|*}K27t&7XoDI6Z;n6x7m z9%P@m!)(BoacnL%Wb`srCn%*Vda8YI#%zrCH|7{(Z2b7cM?*#D7Xg>PFR&*+Rs1&B z+0GsOvrUdD%wp)BX0YIS;)c@NxrlQ4J6(JSJUhZMTp#7)Sf5m!qD5A!6`nu0a=gFc zJecrUI9ND0r=|5z_>;k)J=x1JJc57}1k+s4As4|*LwJQlYg>pwz@_nxX<4(Rm)u6H z_FEA@?jGCuaqK+q7P3O=F?xm>@Z!Y>uY^}j4qf->cEMa9)IktGm5!mrN*JXI)cSp2 z+95M=iMtiuhc6vBihhckBd?UG$4M5`hBnZyb6U>J_gsaZCR*~ALJ&3I5c(JqLt~lr zX`PZYetx^Y1vT>u+s-34U%E~g*EK;?(>nyz=|npIkINfND-%}C1N=H(l=jy&tmQ54 zO$1^U<7BX+5cpuF;fSAHngw~NuY0>2#6CexPjWj5|p#+hW40I^6RKh4}9}P{2(={?_sU!lvSOfeNx|7>U1c3 z{rk+|Cn&&;^XG&Z&ij^ z)NL{u+k)aY{9!PkZf895TYnaXLxK&AliWTcUL+Z~zlbcFXi%2pQ7xES;j?a=>vd?1 zI#!c5aSd(h%y{u!7&FE)BP#1uRV(Dn66EuL_rX=*74zI%rw?qp7S zNk|}n$YD#|+|=AGEAy%!^(h8w#FLOb`W|jH^1Riw-zV+R4XjoMv@`yb^ZjRYDq`-U zjPhr2Kay42nRTgFBD}6NOTYE`r8iQmwjC>sgO#nPWUa?P?%tuV>$kdyd*QKX_SwH% z=Aq6sOnF>T&<{R)qiT|0H_thU#n0JU8UCa*P=cGVLh{2ZzudT-Q?|6pyNB)%1Etk2$AcNauj7`k^sc|MR({9!zfAmev#>ZgS zNB0HaZ{VTnKa_i4vh^jnyoS>Sv+U~+QXDL4bG@#&v4oPxqmi(Eo^8~*n%xuHKgV~e z+N~~1LwYBkwcQgVsD)X!8FHozbfjz*iwnBl!8wJ6SsVW$dvpqP)+6S4yEfJX#bG1G=agH8rph&J;QEBzTYNF_ zE{}gKO^&o)hKjj%=iCf9KfPR7A~&o4V4BkpZ9yeYk2tC(=Epj666kD}^&F)gYaTQs za&dp^7Pw%Zg04w%nhEFrs&j1kj^}vL<-9^Xn?v@}$S&I&x-?Zl`FLZ%gRJn*ex;9{ zgR}AYS{Thux!Cq`6Bz4vQcD4yR%{jhxgmYTd#Cg+V7ucTlmI@mFX!SXLf7|p~lW$D<^DuAK4%+~F z*)tQrvABbK^LvoMOOGS*8#j-7Pb>w{5mckO_v2UfPE6Kn(=CsdhPq$2s>U)#@DI=3 z$3?4uk77gp=RmIQ_ef`hLcdw~h*>zj?DT4B{qb%u(cI_@uo#=BOC&{_OwA`%@O-%8 z=NMXN!HzvPcCq68UD@|MW*un6=C%`q(JEd(Oo93gWAl~_FX^jl5ftrlTDe|Z zj6i))thU1kbRNS+_>LUqe9R^HF!Iz%QQ|K*G>DkV?i1vckf442$X%^uh~6sw{jL?p ztJ3=zzU&4`x|q*Of+Y;v3H&Pj&%?6mJnc$eK`}zN<)FDJRnP(f-_N(*OES;59_^5{ z-0wpp#Myxfg$oTkn(EIJ(bS|$vL9CCjQHQn&7|x$g4C@qqx7&^13rPOWfcGiCZw#-%E^p?5yn2Qyln1V=Zozmq zl&{N&i>plbEmQqKO8;xzi&2M~SEgwx18#3I*^J)wSz@q#eM5RomHA_8{PS0~UnwuA zXm9D#NKXfn)qP2A%5YQHWoEOC$K}ee68|_yHr`!3>4}P2KKj7HS>MZQKXD_#+;T+g zSrma4o6#dSrQ|eSb8gI2&lw5a5m<>)YNC+QPjgRqvPnVFOHGk@w&K`t#9@uMx{V!& z7?Sz;FceHU$H(qXc2jWPU+aAu;+^I07b~2>t!^s>jR>#y?jbZPd(yi?vW^_QydMn5^?lB(ptS5{YWTV86? ze-WX8K5UNsX}_TdJxy~fu{Aa1G_IpEZq)6-eHe9P`D?P!)3iS5gz8AIHH_|U;P5H4 zZH`u$t?i=yjg$u&&5CMM-yg%7*z(PU$@J&D?Voay`i{Ernljpy3;9KQe=$lLQ)LxW zx1l3vg}wCjF`o)FeKlJ!%axxI+Xipv3)P6~wr2B$=<0HtB&H*{CFwg2?x|RfE{qj)SB%D(lu_3kiSVT* zA?hWGL;GO0L|gqD$DBMcOnrzCD{MVK@<4rX>J2yf;k$SFFORvC%N|3F=#?H>Kx_{A zC#pQG7s_*=zp$_Njr? z!bv-ZK6z0xk!-xXsg-$;zLt=FG_;9wb*j8yQt67DX2S-DPTAR%s$Xy~q#gV3LQhtE z_J-*>_b?6R+d?2j#H=tp2=zBrhsIBjMajw(SGI20|FPkA#Nu}?vI`R7QF$YJqpt0s za#Hq#BO=Kck9BvnUhBXq=~-V!q}Cu7lol^NYl~{-4PzhIk0r$Yq)KN9Jb2M|-HPhb zjdbzpVUODq65Yc;_Icc5t6zQ;6^m2!7^a^|vnfd|T{rcg&#CjvE2nk2u?~%KW zg?)~t?De}@Q_HbYiK$%`L1AJY6T*}CZb!1$hh$C>g?EleKs%nu6XLPcifSZF;#zXs zz3z^kB9k(e?p$Fitt)0z>bGQ*NvMp`lL;HICmV)16%PLVZZW&~bTb?+MVLNnZ8Yxq zpxTxM@}W77mip%q3pd;SMAsXiNJr2X*2QnfHb|9s z!K23HAH}}*U*CM~NhF6Jki;`pc`VfN2)(*^M226bj2+L-(e5e&6 zOL&32f^@}8EJl*628FV$9mpa%jE_AnH$_Rb{p=kH$+B|QcssC2V_wULZdfD^ndVjU z@{nb*9lU(3tZ)Na5Y87kngQV#s`XIuv#IqMDY{x78VdFyS}fXBgKkD};n=A^#!Z=$Z~oG1qsPyLQ65o|M2u7K#!+qT001C zas|Ogn;^9GC<_*+oOC+iFHH?z9rCySPyB_gwUEaL6H|Mf19@t zq5BC0`jkfS?wfpYvbkoR-%eO@KgajFDw911P1yU={mH z{P_xjPTtfK7Q<(!H3>x@NwRiO>k)JCHD(ciY>NU!L}2_N4$9k2g20U$(e(l~>IrRQ z69wHjIC3vpP#7+Lq%$Z$-qT3wF=M>)Ftm;;#qxhqlF)@CZdi$PtXaa6kGFiKA!tt@ zs`Yp}J?~c_u;cGTpd?y5P-+Upi~o(QuVOOnd##6#ledkQ{L%PAt%rRd;pwv%34w}a z5(p_L!yF&HdT$!(cQ@jtwS&pDuj7{B^E7bvp^Ik3y%6X#vNNCChhVm7IMgr*sU^eI zqByF+{LStbw*Ylv80EM0#JjTgA!57MhrC~?_4LHS$H0)KcE!p>>o~BfgfPJLZQY)Q zTYY>OmAOozJf@xofo^!0FJFk|;INfH|bc z)?|OJSVJ|$;L%FcuN@o=9`0yX!(g6P-C++SvndEe6A4&t=GDdE*3gA-EX`p>a(z8m zjC8zEurW_@a3H~XC>~aHe_o7b9FZKyM|Z&-Vo(iZqo-E^C8c0I2z|CvX!Gqz-E#RZ zT~XDNw{_p<@P8s36jMow(?a|2?JxX;OaR52P??%?)e>}Mf&E}@#-ti%FFKCscmo+n zJmKXpZ^2`ypQMhZ;Tl>X!A$z4HQ08Ge;mO=bL!I9!ya@d=TH5~`R<`~e4C|4(4VeD z8O*~5+(o@hwnRqs6NXQ3<%kG;_xo*!+~Uzc^Cid^4Po)mWPrG_w^1@292}SP@otfX zj)8y^na2?nuN)jWq|Q^~;=~qX7&jdMVC7pwCw1e)fN$;$00Rl&e|>ZTOL7 zL|H>)o;!dGW?l^k-5*U00wqKTzo8C#iWlc9o1+f)EC z@&MTqa?>w1o!ofrPA@u==tyLRbIu!{Xygj3hBWkrF+!n zvf~Kro0HmuH$l+sDVD{mVsO5gk(V*B?c=KCILET=$_k_`WTVecoZ6TNW2bFpzFlkv zW=oV~8kAj4OpI%nzzAGd4GlK$I3l!-`}+@so)Z}AB;y-*oCU@a4Z~wT;Pm8-;k&z0 z6SvxbWBfyRfE)M! zawE2hTNRQU7cG$7cuBlsfuC4~HaD}~OZCkK?+!D&55m%-#`%dQ5Behx!sHM>3BZ>OS9~e)pZRhY zJaHS;E0o3Xzvs&Yo-4j|z2Zwy5Y8w5J(C=wRoK@K__D9vh}8wjmlA+4UtRHKr1KSD zg2PC@B>Erm<$=yW_)^KG3RPtE-wak&A~7^?g22+Wybq7|-+wWpKj@hGOe)TxZ1+%X z2P^Oz!5H5`bBW9n0Kp7b2oCutf)_0Sf&&498U6)=k(~h$973ofd4=Fbiz@`9{6(-e z(N))8BN+R?M6kraMzCAe6@sy^5WHxCL~#2Rg0bnNk%3+#7@YhU!HE^u2quCrS||?J zUm=(QAQ)K&{{?ek|3xqZ62a{@?VwI1f{|nXe+0o2|3EM{KyU~^aQiB0r48D^f8{xUV$DcS5%VKjIS*7Mf~`lLzy9ufuxvwnjA}rCXfF%ai4oi1&FO= zm~x>kBvBoy-#S&3VPUGeK@ez4WYrNCY4oi~nrJNC!&)OcU%6LE`P5?v)CW_J#r$L# zY(!4{@J-zuO}Ai`w)4BXF)C1<*IgRL-*4taXkV-;ZtbK)C(Z#;(&~3 zNCj;Yc887LZ-+q7f>Bv9eHaRuhML!C8+w3J!cb%c8VcgV_-PyMWUHj5msH$=YbUp1 z=55~1+d)#FTQT;aOu6VM6rX~Eoc&J-9)7iy`>-SwNiosZO`igR?t`_Dl-P;^DT92h z;7>kkJy^mJ+U#H;YeFLel3~N)zPr%oty!j2YX|zz`MQZVDY07ZZE#iao3Cx6s>dXy zWSZxo&q05h*(Af-tKMSt80~|OM2S6$V2BdIn%~`>3>;-f%3(q@j@j9C2$TouM925s8G9Vb!RS>8ma|nptvW?+oIM800?KB&6%oylnlvu+p^$J&G&PnhbTi#GMId;J6 zstgi`9WYoKdrRk%Kt;b0?L+WpSUZpsY2HPO;mN99%cv_p{rnZ>jtnbO^rE~U{8kdf z{>V6h4MZ?py+ZS;R0Dp*1f`R$(YMRH$WS`_JqwQOP}Ha&jX-XS72F3lU^*TRb*wvE19}XHaxH_ZDDveXqQYfbeazI zS~1AO*xeAWV7Hk@@&crfAZrCPfm;y1w#^DoH0ykq4T{>5rY< z)a9^T(VvFg6r`HrCi8e+4b!u47rD}3Zz8NNYZIG+D8Pwwzwzu_s2GrzXiP&wH&i;n zL$){bM6mmft6}XwAoTPY!BpDJYlaPRKz;yyq!RFn>7@e@Ug1gBQ2eAkG|(LB|8*@| z^f*`?sgC1@t)VG$Qtch}_|UgOF6$akpC%5SYg&{lf zndmwSvViON@d!vnIxR7{3zwQ0oGu2xtP%*N-h)Wz0aX4Uwvl^y0 zCzCjfxi_ijm%!?RxHl*3+N>il?S$r?8i2dlD<^}!$CZeVK6}1LTl;Du(Cr_qmVG_T z?UE?$^d{NfB?^QX`G?7Igx0EJVwfECleolxtf7U3CqH|ACR^n>#}Q(w(0rx=cKYn# z|G27l)t}8@tf3*UI=HbF%RsxL&}EdtpZ?tQ1XPa+U%*( z=Q0veU9%O@=Xw>e+U`9E@+pRw8O?vF93AqW-erM1I6+?xT`tGL0iC4W8=S|8VFNOb zpErQ0Qp5RZ3A*13i-X?eYOzC0ObkC*Xslfk2dkEcpuo>L>`KAvGW;S6F7*d8t^2AB z^yVBGETN_Tljk6T_-$7Wdmsq%28N9IE9q&u&`H+LC5etirn|2S3Njrv&3&Ust@Uxp zvtxoRm|GbIh~Bx?)|N#Q7T4~%C_Lu5@-h|;gIhb3ihdB4ws$_tZMF)OxQ2oeSHK+l z0i^e{MiVM4xo04M3bclnSyaPry-|sIp32yC4&*S1N&QzWog9WAb<%gt4IMGD%wG6N z&0P?5pLGToP`Uj--IuI3C1uL3p_A*&PoGA7sIdlD0Xgy!2g8wq_|f@pTfsgyEv07QQK$7I51^IJ-QKnz#*CctRo{%IdaYd4U{B%p9^+0s$|QkE4vEuO4(HQcHwQA%P_T_(Cw#M!Oork00Lj$w(YRo@>ahYYZ2LM~gV}cg(>WV2L1Hl%t z9y=t#sN;Ac$hAl0Xo^wzHG-u=Mj-uQSEIXV!QmA3mMNWAaEK;NYv% z6PCYtAGlTF_BG#(?`vO%{62JA2lFHiVIv^}CR7U9pvg-H2eBB}HZYVpb~*7nWGe` zx^dKK#J(mcNzF@REie=SFt|}SI-CeKiNr=gW8@N>;-CY~fN$K_-t)kr9?S$vn#qHD z*Q#Be!hx=@vaPb_{w-2|XF!8SD-i-?%k|_g`p>-tES8Jji!0d)*tRhxHclNsM@<;M zABYDe6QJj$5@}{b&14wnO+}z$<%T-lx#^|Ic-ZND)q28%EOdd~1bX(dvNJdd1-wek z!237BPCW8Puf4U>>HEIG$n1*0e5QOlcdHM9qb)3Uc}eg;y_X9A%Ga$4Me1H)CIOR| z{a|SZv~3Uc7%-Fi5KlO-%p}@LYJzJsDd^fv>bo+N)c!|i64AdmlW4EZB*>N!I@&6-9OwNJV1_!>`RGpzM%llIq`7w4CTlZC;GYKeYKF}D_OoCjSN!C}X zC{XmsRFsjH9ycrMu`nEY$Icm$7f5J|iMgHuX#V~SO{6-#f@a(mG{pck@qq>gf)okO z`@ru7(5$|OChuQp_FY30X)r^y>861RX?FHpMuUkcCPz1m{OP zQ~n3J+y_PwQH@oR{^-y<$bz*(?yqLx!~u_(&r}_#qMn}}>qxQW*B+UKCQYPAvNm%- zV7dT=TyQ=y5IkfJ#EZ{^&OHrg7jPKh6vsNI+xof**>X3R%+XH%KDw=~?RKj#7T=0^ z-qaqA5}xDS&p5SenD-7*Ag~>;?y{4&YX$}_Es!$peLYCx9RGL3DF%qs7)hKx|Cu7 zyVt}i28h!ddPSUK*TmU#MV$24#0i7J@-h)nq@Vjl*R3i_60EoY<$JZ_a;0IAD=rMk z6_+o63>h5c?T`HNcVXS~1O!VNAp>b+KOgiwj8rj1YO<@v&>}Q-;OZh5L;v4z?&^>H zKWOfb&i()9?$z?l|EJB}-Ib%2{=xLO&gN=YHWE-nz}S@ocQRbtNJt%nw2_jpY@{pY z1#G0#+QcRx2aq& zm5l_N`%gAfp!k)b9tK|G|JFu&d}SjcZ)gBE5-8yMeK-QHGo6+&(nb=7(?ug~BsE|o zpjg$ly#DR?@3~VG?q>Tjb`S^#8)CX)N zMWl@ctl$4=BVF}p^A~Wlg5|Z11eWY+oNtMf{k4(GKrR84>6MKH#^=AXk%Yx!&I306 zCOsD#-fvZY=P;(0?1Nf0mNreonjPMjo;5B0`STNpiS4JbyuIP`%F!3ZvesQ$DFt#Q z7|w}^2m-{=7c8=VtZ>)N_WpO}sDZLX(6Yq5!50iYY0el4Sy>I?mU2hEEx`K zAy@AwAdAL@P>@@!$;w(&3d1a1l*4GN#$IO1kP&W5FPd0km6zILHL<5=>S8*U1dAES zi#83D^wTDFJ=;X%pX@*G9^J!cpLXXuFuRNQ*D(g%*?E-Gg)EC`B4AbJ~%kHllO zSmDOMERw+)WV_hLpv@Y!FDRR?G}$QS3e8==y5hhQEZ80Q!e+4ZZfNWU_=tWaOy*Js zrW}hkLM`dR^26ph`#qJ=z^hi4r%9Kf`_+Io^>)`cFI2f)X6jR@Y?lLR*%~-K8@$k9 z?3<@;V5b-YE>#KdX$05+iF^X;)lhS&1DpQ9U9Es=O9ui?ez6-pp3=T&{sFIg(lSfr z5=Ib82MO%R7szi9&&A`mTs2A2%iW=%vN`C@w=j7YPD}EeK9t(5pdhUcjVYIvl3#bF zn_LhT`vPjj&y)-7wRcD+y_^wLfQ6G5+hyqLgbd9Q{sixWO32Q{Ch0uv9o2iPT027SxEu(%hk8cc)&x)&C{b3AfoMGgB8ESrA=`s5`I!H5~ zAuiqJ#Z||^lUKmW$J6@k(8>>>%dtLrl_tq_)26tb9Ggmv&0qJPYPp}^HfWp_)gTS0 zYT_kMm1YJG=|Dbi)q@P2q0udH=s__tZB>3IAta5EU_m$}UH<_(3JAuCSw8njJdSS` zXQ~T?$B4$dfaFnjoP`D+T8t>m9##CpM7M6iVC zTHD}~R2*PaeGqU4e~zBj9u~ z@WQatLL3Hx9ZfZGsZkVTM4(H7&ff=@o0g1tg501kWM5W~An0bMPK&%c_t!M)mz&qn z!)T^u^gl1}U(h?2zFsrQ2scr4DyKa3-aICNn@glc6Mqc+67Z+CX~*)I9sC&NM|&xdFYFg3L%)iilkmhbDI4)y2W{iG)}(A%kNt zaGQMO4v~a`K`6rn7t6Q%TXU&$DQkt7sN|!@z9h<9rU~Npa_jCtM@rE8O3-y`os@R6 znpmCaDD}y9_0``uobTG}?5aWL*Fx7LKUoE)jCV{O^YW&eEPYDP`#P~RRqXyA?{h4% zf;WucCox^Y)j7gBxBlYxE8DUa;Zhc**j#^OYyJF1J`;NX=*Y3}I!Q3vVmhUUSENke zJsl!Z^(D0UrA}fwsDn^i!s$#Dm$vc@%zQE*`R(hsQJ~`P^0zf}XnTb)o(0d_63AM5 zh0Naye?jn^MVGU4dvD>{h#e<=-@%(NM6^TeU!-x1Om&U&zhM)<%_vgv^`m!^$Z>wY z`!#exXgL3s8)cW9R#*&gUgebj!WgCY*Ux;|JmvuoBQq?D^>0?6?wV*9 za|-ELyLZ@S!_um>u6kmLe)s)TYjf5~IS*cdqyx;XiNrb(x=*^3p=_M=b^^yB~>}p8SVY7<$5?&s&eW>QdB7~?^6#^b*2e6 zWxb!&pJN(!BoenHBImlY`MoKe^Hq`-_i|oBpQ*`w-u3{)O~vWeGs+=8j#4*j!HnIw zvCofOvXVH3!iNla?mX{|3`(%*1+)8g1ypEAJ9* zi=`b`K5F4zEF$KM=WISu&!zb@(YnRq~}q4X`N0VQ1WOV-?i<)&&D-x()0?m z?kE!^ckv?iAU{|Z{>rYa5;ITK=@seIhCYFQ^YW%e&}xh;$?ulAEfb&ZMAq+)9IuLf z;yP!^U~r|(U|-r-F>Lx2O*f?5Y==tFWE8cPrbUbvM10%jdoREfMdAWV>~D`Wim`g< z-`XrMcMe+k2}%^$EpVnj@0GdVHepnKz+!hc0o}=4L17rM^pVg)vrT(0tz5^LbgB zp~Y}E*uY98=}eb^p((DKH?P%J-hDfYY=5gIpn3Y4^Qm`ZfKo-*(H3rRjpAh*d)FC? zd1E+SgYnzJ>Y!g8O_^z|95%hJ>4;0m{h@lH!9@E&UfCm+w1>%h8#8j=x0swrG*iM= zqU!@TZx-T96jReVkTq_scEnEM%kdj9HD^Le&g>YsSAJLe+WD*~M9CMmrf@XZSd(Pe z+>aj#Ber<*=xftQ`|au=JWk`!PrhtTw_iv%`u(aMzT;TSzsI??Oy9MXuKDgX!rKSJQi!ki+g;|n3hgZ%gwT=9Air3 zaVt&x=FFa|YYG-jqVQOQBX0mfIf*$jhjQ=DqaWdI_yqi%Z=TB=HpdjG3gm0H+;I*z zS&@FNzhqlL{`Ym?_57ZD`rG2hCK~dk?hH=)GV9W>-Ky{G!ZF-sbPXSwm>KxtsS6rz ze-!h~Wx?0Zd(T(NXs@^@{`v0ihLk76=)OO~@8*&Dw)w}E95m&Yl+q0Fm0g#IBNK1J zvAm_n(8^J1dJF7g(cg$3Y%&vl7#`|T%)@vc@sRk|&7a;$nW^1LjNIHsJP(F5*6MZW zHXZk=WQw~YA8vK-61|44#vqP}oybBQJLe4LCGAAde0D=v*yDecbbBdT?T4R;vwNIH zWRQrEwo==tU+fLhELd$REq5t*g&HpphZ@s-3(ZAn`#*KTUsQNnn~UJ~Vc*v+$#Yvs z45^ja+~Se`LT%;tyCF9E_hW-vfx-gxes#$mRB}q$nZbnTZQl4Y7HGKKFhfh;d(pGt zFTHdyd$+w@IIE!z`mLr%T3b}(&YPGY(59m&7u)(QIwV=cTrdZfg_Y`6=%9leX^iPO zba(HKTAi?jbqj^K^4@1yqP`pMN&tD^uexeZ6yKHbfK?82S>rYSY($xbO38|MU%)NbHL>$oRzbTgBb3q zY{|@va@6;tvNPh~B|UbvLZjUV+ddHb0J32TpYAMcA|H7%r3f|Ji(i-er1&H~+8344 z_i-S^-#XEDX`jQ_du$@6$+y=O85;Bdob%fdvAEo%>yr)kfx(6awIZtR9 zmr^sucmwFuS_%7S!vAso)1vC{7q z9l59ei0p}Fb|GF}Nj2fU?r$jg3vVN{V#I?7Q&82I^ogK*R)0Vq~hreB$|x(q`S zhEKXx>E6aECyC13`P`j%ZUu>p$wmc9(0&oW7`_sCc;We7R{K|Vf#6dof2<)|8^3ej zHIDyuT+__tX#AAgDv_*$Ui@7=QDteJCE)UxDxLo17>lWi=CIGmF*CNWrr(ozTG;Dy z0(+qkw6x!3bln?C6GBiryDIRNa8rDulz9_Ng(=-d{6ZAp3VH^1x{2mJe#4ZZ%VZwQ z#;o<4_(1PR+P2?j(!Jv5v9)n5uS*#`1wF|ho%P!i4!zyu?kG=D$MPDev4adMBJL0$ z-hB812V*bLg^`3}u}6x*lHyJcUU!Xa&Alo?gl#!TUFR{6tJrAO4IvMa*o;h7cI7QE z(qvTZ+tMALg3M!Ox8_&45~^O`MQHE5x{HW+3(3ciRPqho!q6RzE+ILjBL@P&WQzCWb!eVj>4yaR96(GNKr1)ZMd-epy< zxi>IR&w@RC7l#6l8##M)kb$7msQ*Cu;^;+~F-b!&SReHJ5@(V$aNNl+bgQIM^J_xY zjgSoAo0gJff_(Oth_A_&Y9$V!hzUE9>hJ_RBXvt>vNzx(JAo_vE+0o`FHa9WBsCR` zq?Yva5JLs10b!1%ya{hv)7aT5e}DKaV>tfN;8EP0zWZU1?vIzUXP-VR^U-ePA?-gC zCOt7_^=4&ZLB7h+Zr!S`NNSoUPikrtw3jR!@ico|<9X-c_Oy5;8kgtU!Um&(NR9mjrhOKs zz0tn?r~ScVZ?nW@d-<$^U{QQ`RllDOSx)jlUTh$EOzqND zYyget7ga6R-f@jRRju~ApUnvG5cA3XQzlEM#7n%Em>dB;&soc|ZR& zw!QSg`&0A)v~BOp9cyLlY}@XhR~#e4il6qZY(mLh z;S|cN^M%z&$;Bu6J|4@xP+lM8tNJ0#kP@7vWaRPP(6M64@Ks{PtcfF|W1U~^Xxb0M zfrhd%pZh;lMkhW#DcdUjxL!K3di}3#V)Z}%OH(vE;WBMG^_~1~ACHJx^LXw5ZbYO& z`o(D+d|qV0WNmkvA(?cfNr!PnB(qXnMq}9Ae63k8@b@{3W(MU}l*#2*LO)i4&doQ5 zo{?e{Cly_rmj+i~l zcv1(^tAPv`^m=HJ9cqwOq(dfn)edSQ!~4lf{p((t&Aipp4>unqKGDn0!z{skC#G?4 zxMN71{Y{Fx=Dqb5F17((YDgjOYO-~ia-W@qwOaMc?0b< ze5sC^Gj_93(M0v?#}jN%O_CiR;FjFrRfB6bE}Ex9Ol+FI@falYJr%4DDS?=zsf!+~ zbI(h0zYAq8Eh5vdNLF{Be`(MWXLOHmpJ7Y*>1J9cEgu)Z8vM%_yZmQr)nROpY;0?j z8zJMujA~DZK!f_*9sH3h!N@&@`&_a$zL+#s`7HnV)`RMleZ=c*wHk{ppT1uV zhk4KJ(>A|Kd(H$y`aNfszc*Sdz8DtkLK}Hl%U9i1OC>t5JSmNzwUfN{R(aAGKdUpD z@2gW#&by5qts1$(X*6kpi7eB+6*iMM-}MHIALQB6p$-(2Zi%l>ed=0H##y0+FfU|k zu$-GDhBj@PlFbViKsvUR)I#^8lX-Qgi!l~3)Rys;nty^rRfUlKZ}-y0)2+>(eIFlS zdoWO{+@+4mwY;T<1;{0Av@{#0NL+RnR&tA*91%2 z&QW8*o|aE`nz`BMItXEIl?Ihj?M;ZN+3`xJgoZCZ1FdP1hk|n`Rrf66 zv2BqMzBJH~Ya}`36_0O7vk=3MfBc?p&TjP~TKwDhY#D|az3xBATnxPUP#eb{1~2B6 zaUtjp^{WQUiY;0nXtlT0X9Zt8ztuhB5WO-^QA4LudBZ^e%M;#aPhBn4O`Xk#sGDN-wx1naKgo-Pp;*__>6e$g~wx=s56nVyp{& z`(FO;X{;)__8p}xmv4ICx;8{uw8Ym)>^syVRBM!$UGA~(;c^Io_tYrtslF>WeP#W| zTTc&s; z=0T#;D!V$1J2@L^8Z{ef-3>NUvA_Gj>Dfq$xYQNm?8V|-#4NKg@u*~2)LAZ6GgOWX z1qaaZU;2w_)^sUQmpu5*2o66*~yy`1bc+J!s*0V_36 zrzmox12&tw{LA6s527x=T*TET@_I9LwQ-^eweNVJrN6_n84Q`P%7@@$yRfNA4UyV+ zpuSNhC)xu&)n@SR6J-vkmUujuT8FEq8d*FvRB#{c#&Z7lO~%ACt9@LPN0zp!eQ7@Z zd!1@=Ki%;KALm&CUj?QI%sPfI=P*@tm%w1LMsC@wnEe}Xl6O$fLS{MVk6<|YV0lXY+j&<3RuZYq8yFJVuCLQLP_Mh+UsP5i?JIw$)t5S@jPplr6q(z+pZx}8 zQA~pynskHTXFjHWd0C$ixy7R{@_Cs0qy{otaDUdT&|SXNNw4&=j%m@0hw2%Xo9S#( zIq49ehslxVeiugYEtv?V?c830^Q^MYO7xjM8wewAZwrtAQ_kp`J?(3~R^;`8Z zy}-=2c~-a%g0^x^a$=EQ23tSGg)24q1XGXrS)BMWX2BrI5_Q&%&s1|mx0GH)dXhLY zPspX-fGY^}F66X6`P@6(1qon7Nim-#;&5w@_6wM8(HReCI3i8d$fQg5XFRGjmzCwe z)RsTB(q1Hz(of_u|FT`bvGUk%c+$5-{u3G{F1(`poEfv5$Z27J!{d*@DOzpo{p!OP z%?8PjhKHHSU(hBF*6;V)Hxfs&vrI&;QV7YM?7J`IcPlmd`T8*)>|Pwgp9e=}A3!nk zG08C!XoGw0z)$1MHHMe@-C=K= zMA=4bBHpRHSLXG8te%NQ%^dAqqAeG#>D7%SSDBklq;Xj=+tXK`L2&F+nGp9&_>AIH z;+Hi893`(DW#pGAB3bOwtEeo_qn@$x29%pJ6W(|s%v{LBN_|>DpeZamN$B*==pBt? zfAlv`!F%p#s=f(MT|aXKYj%jX9$^gM4TamuJFxuZGI;RCLBZyRu-~Vymt)~yxUF?# z8l7OyObm5)9ZB2Fre`;Q;lX`B7gR?sh7h~|d@Xg@rZ>S$Iogv7$4{G=Sq%)^sncY2 zwtJzpN)(E7^9_OQ?=_UFKztRMFQa<|m_cyu(3|KjuEa`y;tJT<5bl)>m65S$FGU>L zBc+l%6IY)2dZH*iM-#aGnQu#sI(x=pDp{VnH7l;|nj51anqOp6yFR7ancei=N z9HxwEh<;6bjkDBQJ{I&UC8*$GHIt6iOTF2}iRXFj?GT46?)eFQHBW9{P6G0Tb#;z; zka_CAj6W$1tQf&L2(Qix^*CTJW0GA0#b8ye_6FjY|d3O0_`*PT3JN zBQ|~!KbAH?`KUbkJ#rmOq~LLwa=}NU8agH%N~*M#&$b*q)A;6Ob06P_{=nPOewP(< z0RQ9D@=Nx41mgrIUG=*p$2j+oAKr)a8?U9wJ)Ca%Sj4A3(N;vL{xMihGPQQ0C`o$y z*ZbGcASutOxY}?#XC4T~X0bd{5r0zP{$M$W?yhzYf4`va!eghh-M4Bfyr;S!>?4=j zf3{*6(}kjF+|_SesH@eFO7r9%{-(`F_p+jk)P+)iFmYL(WNcItJejHAB|B1ds2!t) zHBL=1U-I+Xu-rHS&d$d?0-@Ki-`4^bW_*VX_#Nj;N>tW_5TtC+00BT6g*a z%`iee_QGJA)L2Gs3}125oq46U2y#>n?XHi!&ct`5hkbqu+8<;fzPu82cP6ePvfv54 zg+kuHZ94w_p@BcG{>kI=THD8*D7DW=bYNU}EC=Vf)H~#tE#akazGi%T(vxZ=Qe2xQ z^ky`ci_coqUJ&}m;cj*3V8U7Nq0&P35DOYhZT`@@f+QgyeI}-`h;{rYhxDw%(yC~Q zG=Hc`{mrLb2O|#+;Qx!ScMOj#=)y&3V%wV7p4hf+JLybp+qP{_oJ?$MVsm0!w`acZ z;GXl``=i#os(N?#u3Dchih(onZj@Xf64;kA4AdaSp-)BF4E zMfc2{t%;fO5r{oi6W6rYR5Mln?a--~^4m$c?uuL4_b=^C>gST-DtX38(oM4sZlCc^qT2-6iYAMlV9m7*4 zXV#R7qQ06+8-3H$>FSg87f5aAVDIwyY1W%^F z^nZ>6X+LMum_81?`S?aqwRE`2qEdVF-5NHwKl{|0Boe_j77z(p&ELW@&{Ak4{h>y0G_Kdj>nQMh}FVYpP)MgUjw)) zDUY9j!7}RZ#J7Iiq0dc2SDYriZYTLuhH3qfj1Mo~&D@Y&5nlrCi2kXvC&?|kQU0}? zpEUfhH_H1RRL@EX$44`l^8C5u)5OBsw$@ZX-x3MpedhulyU2catuLld0MF*@JN%JG z;6>fhg~5zkBXiN_tr&0feVgjIBBrIgXO(5hJunoBH-skAI3)G`W^OUhcQ za^HXZ;&a&M6r65V04-7wM>ZL*wO4@t1b zZ;_6N`c&pG$v5-eN>h9I$LeFE{DmXxKKAs9V8@t8spAg`zTP>Mxu~f>-*%5=P35~e za_67+?+Q|Z2_GPWD@uPDBV z9?vl}&*XZikZ;5nJ#!uty%W=J^m|ac%gf7kKT#zHlSa+U0$07_tBdqaXc#yTo@^2* zf7{NzN!#*{)}Z8?6zUgGMXDuql4SbWS7W$iOKVBuV2x|}AbY-D51soa`Y5{K#qzwZ zdgXiX-4tST)&Ahwl>9tqq5|9&e>%L$ZE53vD8B3=x=34p2!3&IC*ckDb=pIW06X~h z{$$Miu^h#pW8s>yRgfQiJpE21DpgBSWXZf>cXR#w2>#Lk&E`W;e)k(G*k{_@%+F^? z-b`O03@3Wbjq!WkKRfaZXsT?oUN$XfKQ*v0_x|iSz+UgeeK8K8a2;3f z60P_hxq3QI;oX)mJvVzN;=b}{TutBQCE=S2{nB5WW36#=>0{H9%a6G9es#NMnd;Mk zyPE5Aru48^8)V9!?wbuW-kmnt4FMlc1@Bx=l8RI8Bjgfj+%=IxVRvgn%UyP@*HyTl zsT=>Ida?bc@em@!U*u#>VS9w6Puff$TxB!FfZ^8MlL#)M$p13}{(c<#rB1PhuF*DBTmX%&j12``b#o*@3kdrijVy;tKqSFZ>z{5 zrZnS5ywAau>l^C^lT$c0E`$gPd83$ymE#>v(+RRP8MRN|dVIUcSUTB({wQLU&CB32 z;EmO(>O?)B`u8v??5EUpHlvU24YV<*($pDV;#cn+wOq-@daL=s1Y?O^1PqnVoulg4 zeZI1%aYLEw(IL)qR$g+>tm9c7o}nkqN;7IHB_d)I1PMS&% z6j?Zm)HeAh4HO>pop2Ul{ex0s3FAa4Y{WZ}Pw!b$nNEVLNoqVboxAlrnkLf5j4!jZ zS5fh)*Tc6@fkxBMknlrK!%p1r%TJK-I~?EY`EHh~GWK%|kv1sx`C(>bjqZ&ze9$Vt z4W0};Jg~g%e^d4sFT#agmz~COycjZfHlEs0*-lw_kvo++=C6FtR(qTCt&b9f8Rh?s zaE?jxP5pd)=4Y_^HSeJDB5&;b!hceY4Z9fye#8m+i3R&RYS3mVfW449Ly>c`tj4SX zt3iX+mzf5+4HxG~#VFV?3zS!lf@7oaH*^PiJa@%XR4W0$hqYy~f9(${Mdoywtjk|-_s^8y_vCvw2)QZtjn7RJ&0J|)Qd`Ntk; z&ULT5ojoMFm{;D4Pr=`^YS1_t#u#M+5I!A<6>r^{LJhS<(^3!y0zf*--#H4?4%N-F z{pu5JajH_EJG1vvJ(cINRvOF{79qzvw`#ym)T@hj&1KG7>6!jiPH_D!oeUq=e9=&u zB9xC^0FYzW6gZ5ks?fJZjFB;{CP_EfIgFMl(z}1rq}MMS3&OHl-OX6bZ?&CdT?=l# zm}G6?v7aO-uF0La|JlZNGyCG-4x~6i?Njt@OUtbejEm+MDnnz`-*+viw1HZ?sc&{| zSKMPT7_)3P!6j60@}Zi8G4(pmkn%%HeG%@A3q+cAmRk0VXBeC6<;Ea)xpaUKlR(l74*U4XdtHdH2fFRe3Zb8 z^&5{fe0~+}UU{z|pa?oPW(kjR>k;Ki=le5--b7l9O}&Z-sL|Z!8NZ0$r+mwbNE;hK zzkWNgD}jPS2ETR-zq&>-Os;_(;MF7c>J+iK7Ep|B-H)2?u44Q2*s>vrO@)$;7?uv& zmSxM{zDCI(->r=_Zr0?{Bc5>Ew*59carx=P@*QVK|Jx;Uh9{83?C+>@pa4P;G_-fveV%FLIO0!9jS2=!4AAjRee3SnNvz-ID4pRJ-a{U;Q zBahk9zf{XvEQ%9xOc38s@$E?CONMe4$4TZb&-D>JXD}E?@PzQ!q+v?*WBHFm3znD3 zS&KVkQEm>M8N|8!qYshcZ0)k5)n^sdBpo#j67O^-CZ1)mr=#y*JnBMMeh=;^|; z;{l78`!yfmmH8d#LP99LS@B5dk__w|FHdjolG-EfxJo|F-$&EO0}p8ApDw*$npc!PX|{HG9nzXGB$6?(O<4czfDh5kEpjD7gatsUaHY6 zV>0Tbbk(>c%%BVCgRo#Kza*f`p0#Lp1@_%fb-AwLOT^p* zBLH#U=y#3bD!fd`bI~t=71#d6O)cp8w^Dt0?+dnf8+UO|@2MwF<5lIaNul^rT8LrS z#FG(x_S5{;ka@~)N;vc*C$}H>0LuA?dy6zNMq?(E*zI~Ho!{LYHYkZ(sPf(9#pC_* zbq9VK7KUqv{Ck3N3Er`gZ31GH^l`lcF?~_{qjW(Dn5loR$7Bck*YT8w4zDl}T@rI# z*95bTQ}^qr#E-|nPF;J+?>HI!R*#Z6GE zzWtg^3(>k>118mw;LRLx@y&v`Yvz|LDGC!LK5SG#btPhc-Hi9*UjH%NKgh=eU35$2 zPAk&8iIMTvv53x3vNBi(rR06lj@X|SY@@D|a6C4?yE`1k2^whc9TS+K2->mttx(k^ zQIntx;fke`k)wkxJ3Pf1r#21O-CJ>2_9`!`!;6{kK)IpR#fhV>nR8cb8y(sP?ZWw| zR67$!dnVqh$xr3Pw7AjdSSU@8hG68K!@T_O_|@h)LkuP~CJvIQeW#=_JM4$aBFZgH z#n)jp!&A=EqdJnRqfx9MY#t!+vG5Xk%AhFA`}jk00~Uq$2Ua=&mKvRC8uh&~RyJYn z8szEkrbHRzOx3^*pP}=J8PU=GC~K^9PTWNqX;#KoIGpYkxn52sLoV_73(HY*H6|vU z4HXbU)MAz4o+e}Tp8k$EASVqRjO}O$Q4FOuu44(9WXd4Al8MYx>$w&wfk0WjSf9*r&PF3Gp=vtdv=!y}P$j%Um2J>nre{+N+=akYYe+VWnQg6toI&{#{mCjzYhs|Ks)a=>1I+5$JyjP~o#<=T#dW@hlbHbK^~t7c^2 zn(1Om5`KDc*J5XR@YI5kxmCV#cY#~>=7N;DRq@_X*6$M7ww+X&(jc+-Y(T9|(}~t? z$#ylBn>Ea4MnFr6K{eAuPPDEL?%?Cln@3^TCS?Wt^l9|2CyD-#{Y%+r4|?AQa*yeC z$t{V~gV1s5!JEQ^VxwSXiPQ*IzFwYG8UTvYok)N3pP!T$!kD%5u3DAj^mIQ38Y8x0!8Llo-A zDHJ*fgV}#lb62Y|KGhk#qS@;AZOEr3m^OLB+T|i&Y}Y;68W*0m*AFPCQZ^49Jx=sh z*I&EePtv#5AHYbw)Q_w0QQLJ!O_`-%2;!ohvnYW+e!R?iPgI}LzUj}uxeCqfwuvg4 z%&*8uN@B7VuSiIou0mK|qddGxvDc<#OyIbZRBx%XrJv~qYQvFPPNpEBE+8Az6PJr*czcR0VyHxKJ~` zu~O4?##ZzJS7c9^zIRnkgLB<94R^f{l^vf(&zdYv?PR+zvEIKyrWoL-cDAoVRttJc zI}D#vrZE4}QA&L}r|fTGQ;cDPv~IvLQq+HCqKcElMI3T~gE~}72Nqb0;J$82E=|n+bKl_5(B%YB`T->KN24+) zdUH~-X*J8w)!F*1&t=)&tIsvr-=<~8=8O_r*=}DOLzHUf9pqSkqM=_L0z7ickMhL! z#D*I8RV=#uifhkdQYK>#r1m1|SMJW+-{*MmT~a7(N{;VX%#%{1b5DBe*izO5;nT`? zj&Y+l-(Gih&J*oqYSYl9kcxtCPbl+LR9BDqIfyYr`TMQlQ@(t7CDG{7_Xw_&{@~I7 zpb+UP7+L>x2&=4iQgXv8<36kiV8?I9$sSp#EeTEARzQ?1q`-7IF*Mu&mz%9ZFctR` zGQo$)K<rS4u?BX>++0V39qHp z5WZ;UOg(%)2^r>qRn4M~O?@h?`0lX~Aj;HzxG2L4i`g|IcP5n9-aO7`FHnv6+CTf# zE*NpJ;e}fIl2L91%igh`F)A)!B4$WXq1(?hUcXGiUeTReDh4taMOStD6~vGDtX z6cX^5d$M&5$x(oX1DdT%844`Mpu)E09;jrZL1K1>sw9HMxY>4r5J2jupUUon9YnIJ ztZL3W;j9s%4(nZItiwAs_`(i_ILbl8)!PGHIS|5eB41k zP#f9~?t%NOm2!&X^_%i<*uAI?CHv-lWX5=#O2PzL`mZ%c6;AL%PRs(@9cmf~BTW)7 zYV5+RAUqhP@yM74WeW7gzQzJh zHhsJc4I{Pam8VH~^D{OJ&%qO@l=kXBri5IhM<~ez>H;M+*>B12c0vsI+5W3?;+>(8 z4~H_EWul_!%9`qz&Kol0kA-?eoB0Etu`{``wycW*yaMS~9EgPFR*b{Z71Vg7$NKrBrTvmO9Q# z<+;=1NSF-@QBu-c6(&yQP#b7~_RCIa3)4*ZNN|G*NKRG0E@@SEr$Q&`Yk8956BVDx z@RRT0XT}tGf&E%=W4Ty&W;-bZ3@sCA9qe4}_+>-VKX;`ZxOyf-w##(@aQiw@B^&WC zo0&B&AT&`LK@fx#6EGH-Flh{L?8xREpR-090@q?eNZ)1w0!i<&srD z7yTS z{)#LOw>I$w`dP5MOp&ms^-aI=PRz#Hh4IR>^eoTY`~{{_7Ax~}Vgqit!u_vr+P(X_ zpKl2IWB0z-DLIh-lcKL)$|c?H)9iB2KcQ#Mh9)plH9z58KR*y{9uBY_eX>49V${ER zO6P~H=IBLNp5uNvd-@ZkZ}bOp`%7w2n2o&r4c2ZEou%c&Acs!Em+2c6Srdz9Ln}{=4}N%mjlF z+YvU7#0rD(JVejMjYM)Y;IWMMDU#b)tMnid))ux}vvo4m1!^`%%j3#q$~O6}b{Sy- zQ4a9iM5`Gj6>zqNn^>&PYJFN8=n_Dhj z%^Emq?!)qtW7rB;WV7AGX1|FIiNXr3*V)PQTqXwlZpmOWpV3OqCRFs~obA)obo$%c ztLK#8XZ5cRJ6P)jr8Pb$C&C8AY@Rt^S5$c#+l!6#2n_1ihR>OEeq+5wc$Uvj_}(J4 zrpnYYg|!#Yv)lJ)Z@&8F6utwH9C-G}nIWvvKH+$ST5EIm%k5?Z+sU@qLubs}yHSqp zv|_KKBPEwErPy6n4V5r^*B>sZH7YpE>}aW-*)S|OmzVGnm&-`jH6@{9p56y2=csb> z$gnSa2!(M1OQ|c|FPV=YXI<&uj^lhA7w_RiSLUvd1IhbQV3tSnKSIN3vR%wlr!P=G zMs@i+hM!_r?;U^iuH1bl>+(BSFBt11vwTDUUfZ9Z`T2g?7e--8(tOd__0zn#8RCfj z`+XA|WydH$#iqOL?(qy9A=+AN@w3kZPrlrpd#n4-T5lYWh=BfPj0J!Fe$=`*?v$_p zHDt5QML&8^K8VD2BymX2L5iV^N>#3QBQCKoQ?*B?K|>Pq8``CuQ)Ce z>IL%GlC(%NO?9c3nj~A@@_T36qiug{ou^KJ*aMpthx#$MqVONM?FX$;l^QY^Q=m1~ zSUf*ahjnyB?+b=aEh=;#^_mz()$*?>&w)$`5hWc6{{`l@=v9GCt**pz%fEW7Wt|CWNmRF~XB} z?3Yl38bb@Y*=9!S8WHb{V*MU3+(K;k_N=2uRk&Ogo!BSRc6o8 zKfHu=d29IxT*9B!`peL1J&rOw8O7<>!w5U+OAm{(`WbK6=9y@j0U^2Amb(o~Xj5ai z{NydsdX_N<(+XFkGXv>!Fh~Jb-?IvI!JcJs!_EJu|B{Hu{Kv} zIj1@Ia&FZ4aV^;W8Yh51?GGV=bio+K#k#o!PtXoCpbkKZ!WC6I61BC*UJFxb=8l0k zP}HFrH!6dOcTmtH6_i@GUq8)Dw(*CfV(1UEDvr9c?G$oj*CP_lXKSpkfw_Obx~I?F zNm7e;`abrsm?XLB?7Oz5+l1Fy$m=HVd37&&-HxFpxT6C(h}%QB15scd_JDLwxGL1> zPl+&F9Ea~=6> z-mXvy1@W*uWAoSjNnufx$k^A!E(qZ&r?={XK^rM-y`me693fR>$z3*g#W{U(%1NoM zkhZ#)MmpjFI+uOkjllwXHIOAWh%j^7#(50G{z2`lO7cfYJbo6c8}>q~u2EnfLVU!c zIbYKtx`iJ3y^VW7b00(>^44yThqvLC-EtwWH!Mmxd&+9@4On^!w##~XAzN{k739s4 z5NK7xaFu7mdI)W8glxN>?mCT2F~G12(Vb$;KM0Mjp0ewc`z?@HjCp(B94(XAjX&Bt z=gaD2F!)8$!@((_PUHPFYzFGAh{4qk^$lpDRY?Mpi7=i-GvbgG?rA~Pql?hCEGtUA zvC_~mm0GSr_Jro1Fne3$Fm)twej2Z@$VkH`5jY-ute!Wp^?Tk!?D4uKep^eC4!v-0 zaB4h1VOk>KS~a_$c0Sfx#k)%Lw1rW#Ke)$Qj2y2mgjb8@eCAqJXu=XF;Fvf?Trh<^ zX($YKj%_%W(le|8?dnHJ!Qpvy&3*b~j)A%hKAcN6YZrug1~?CI(nYyVino zL$u-Iw$kRtwZqFhdGMG{Dd0ebw3p4)6teplT(K1-q2VZsNAloYuoUHMG2w=9{ zynFG!b?>WtY0caz*%S>a%|!KQSw7}Lq)&iFxHDo6_?084;ErL88S zm0@Yofhx8P*i9N4l_c0r)`CNMPyA+WjICoO&V;#u_dFRa@e|2Hlby?tK4Q$YMgUMJlg?8(&4+C0gFOP8$*nKnSS5y{di0G}Z?Cp#=`ReeJ71C)nu%L=lKzT#{3fU%sr^2FYP?E} zpU?Wx!!WH{CPOwn7>67S2y8?=EnI_~syRy0vlSLkKf+6@hl7IEZo*!HSK%QHdmC;| zo&u*xWcI5#Xn`W=~ zo3LJsP=k)}CkmlDJj$K`Bc<L(c`Liz-b_$!hx4 z#{1j9ZU&M(3rhgl!pADm%q3EBOsb05+P?!FJ`%$CY{VbhWLafKrDn18BzyCPcgwqR zY>OSh{FP3$k}04Dm9o8NMUg@x*v6*tvLg%{6r2dNTg(0fo1|+1<$!5=EoQS0B}NUGpg9{#|m@!%Dq#f7!{bon_3my>a7L{b#M14A|h8$&5wm^l1ypn*G7oynv@ zNOJp;2TIQEMTn)s0jU`%0rlr>%Pv#_(7nql-WyEoMr~_DS_06t7~ZeGe8h^nr{(y; z;`wD1I&o!wHB>1`E@6+9R;-YT#zN=!k_FLFO|z8HPgiGyL1uGA7xQFU?}LhM4{8U~ zpV1m(k!4-Rf@piW@Y+eKs}7V?i!vP?BP|Avl^9^Y)p!s_Uk$D>SA#`+O$ax)r@?}H z4cL*={ari)@eLn!(CSdY7M_o82-2|-QU(PMs!XcTf&xdVS;vsljj?K_LuxmT6bmHS zXr<7sj;s>)*l0t9(gmPYk*p4^D4{lPSP}aA1CXeF8S0`4T?Y9<8>1ERS8R8YNbjgCOHK`o2GXc8V3}K8n<}L?igg!B z=u;zWiXX#CkFg2~3oJC*ofhug>JO}2m<4~VrAq209Qcc>_0OIQdw<%gU`Vg9+;(fW0iiX7b@B?<*vA#r2ycC47dOm#iUKEYJr z)5WqLVUW?mNg+QJl)1vi**izdPYfhEd1|5W@D}Jo3U^4FyDUg?ajfHbDiZa}gp0-) zQP@1{^h{JLLJ_wE!bk6(g_?-6zGB|9t;gLz=nye@M^|mQpO|7Aj2e32{lud|Ht(#6 z^GG2{LUB?_!X7BRo7Ol!%*Mao-u_y|orHX;8HS&IOV(0WQDaRiiIm`MTJc+%j(Z@m zA;Aqv-}|^bi!WxFp)%hs_1rMG3#(1b(FPWjnz87$(6&X_*AmhyRdl(Ip->`ys zM_Gnlm<3fa8!f zsjy(QW3Xj~!RW$fA{6{lOg0a$39hCn5L)Vc2xJ))MOd>*VA=L3=cf z%pyv}5K{=wg=oOwe}W7xF0if=5rGS)yeyI42OmJSPMG!&Y8lZh3y2AA5oLDx$Q}*8 z2EL*h5eIGyiuZ^>^a{uLg*Wzq0x4WTD6RtU(lVh^_tzVeR9uegWtFtPl0Gyx^pd)A zMpa~ztL*w}#$Z6OR1_e%BR8(MSqQEf-Kee_3H3=DgD3?-8tZamQ4vM6JI8$OTGAzP|IGWxsXQT=2*Kq|gk-a~{b8{MulY~m1Z~+KDifTOL z43VNgLipb%M)DovrADkM$u!s@(g|>5l*0g~QR)`o39^2eHUpVH9Y%6PdJ9Wg=9(~= zs-UtSss9gX6l4t~vuSu2K~qCh)=-o&6lGFH2BnFhs-i5ZD@f~$Fsdk^tco_-UL0gV6?{FzpLnCWJ5%VU`88Ww=6n*c}4vL(D{&ZmFvy z;caO!HvW|B%xQgef#nfc{!~clX&{RK0ZdLt@Iw$~1N#3!O+i8ir9T-XrUr;3t27iI zMD&jUwh7_fG?-{oyl5nJtA7+nk^_f`nkB)`OS*>DqTuWt1Q)0e$cKNy4s+tS33OCY zTaQEFshnZqxqc&5lt-hQwST>l-hFZo@8EAfD3uPqQL=bB*Ov`Fv;m$#T zU@RM&0Rv!@wSOg*4-y*&JvAFfNnJf-aCC6_h$rb+5|~Wj2a=}sjT!vq;w42Yi_W5j zATkG})7GRlt_QXwC?L3@jp`B!A(ptA1b=-GKFy}mxs=)QFo#*ZXy>+bI)pZ2GKSxcU^0=F(GUL1EJvk9I4dF}Y0q*s5*yW_BL`N8{cplpCJgJ9 z`X`oU!q%d{!hq;SQxnb|0A;_ng8Pq+|5qrg13d(xz)q>q{-+1zYAFA)^FW|vsvv4g zp9GgR!g`1LLf0fQji1IoYv%65c#z5U>KRVvyVw-UR-@4+-VR65r(I}zs8i=v`1I+rX`{r6LQ zEpfdyepu^5;o)%qWP<%qCd^?Lc)euNaJx9T1FR=(i;_@wsIQDRh%$zr1~6-&%FD<= zhXslYHX8FEjU346fe?gagEFcUMEZ}Cx>JBMIw7(_(NnQOmDC9`2J?a`w*r{aHAOhI z45%Bz>>7JiOptdh{5v6=p&n?6=KFY)N>Bhpc#Gi58kjPMx{Sfjy(*$nsS$UTFiR?} z8Di})OX{u8!D}k55o%Ti+Ys~n20dYY@F7&|20%v~Qr;sG1``EzR6tk|{AZbBeWD2e zHDt7ee!dw%GXC5EIGfT6k?gv1aOEfpmSoIp*xz?l{2>L}_J2+MFU&xyYm3J8NVQJ* zCj*@cv|~PvWI>RQ0r>>DS>Hdf0!ARzL!s~CeROFslR?9Te+-jHQ5*$-BcrDwDf&bI z19T=3eqj{@L7lpufHYXzu(pf_5LhGnI>JLB)D$EEebrYcOe}?*aWNGGf$Iuf<^1>{ z5{v>N5@>N+kzg^D7>zKP=zT4w1Z$QIz|AYmA2t^^e|$#F<*$zZ6o&Lyq<@_ioPPuo z7D#Q(po#&M2zZFV{|+x${lx`qAky2+kSRSn+w^I$tCWuZ9R~C)iEcW5Iu_*DtwC)w zGQ0NB&j~#;%aa0DUY=iNd~Q0(z6Mg%Jx?b1_0r%x|4NYH&?&mEP6+Eu;qOvLQCz-B z|H~-=Iq;a;M6j_QB^EUDm}H>NLnv!t%K%Ti{EY%Y9}ibj$D9W90$KpV&?Ab_`Nh#6 z(}4a8WMaoThZY(sq2-zejUGo?gGk1Zl^MNh)WV$vSG=c@2$iM)FjCob$FQtI6C6(D(HHBFNI;w=U2wpc6)TJ5n0#OB{0D1>FNyuHM(53;j zMHFQXX&FO0U`QgdBct`+mP&)wfp+w#F{~-6HW295Vazk2$5LQapOunDJL|;3$=N9x zK(m#S`7Zz^fWRFNj2&6NNa(<*ak5VwDwY62LWD_48tXL!D1?M=>p>9vhT_1Qi-RTP zLV}DAq@;hT^BXD-Fh~(0p<@Ao%S{ZM1wij;06hT?lmp##UNkdiD=bidB=^GNU?+hh zPPDcl--Jww2kf)|h(j61%on1s zi@#Pai-Yx=e_~b{0T*NLLO^%$k7ra@lr|v6JHS)+j|X-ETG3x>nUKZ-ObriRM@g$GwYWHj(voh6#xp~OK<>;XX zmM#tOgvyBW`ro6VHxd^FsEMc;FejU$chOxniGWv!mF1x$|9#==jB7d;gdFJYT(T*| zzoMp#Gzw*!i~VIds7DzNw>_j-zd8I>>8jTS1mPNT>AzaO4*pNe>x7l0X9$+PjHxXU zMZkZ-0K3t;S2=>*2w4#DAfPgc7N8eAj(0~r5p0Hn$d?8?NlM_wh?ybD^YqU`t`va} z#iK8?D+qw0pvJOBL4V>epf&=#msL^N5&^%TG(|YcY|HCPhy7m=A!k(p_IpyNx*fDO z4iuh*`U-|~f$@KifAD_>^S@&nZx;{hyuV~N1L*vM`q!p`rrqdy7~nFkBb(BLlm-hl zZFMU5|J$r#c04M>urz?0MP`>S?1~&qoCdog$`b*c2caGUb%=fj=6}c~KRw8rDwzR< zl)eN6m6)25r~nASS;4k+7>pNCuHeY%8c3LJB^Cd5Q9!6HDp{ASRQPKgKIqg10jpEBJfbSwC_||^LiBdfd)D$qm>EJm55SQRc023U zv4FW=4s65Z>IrTe-)ZRn+&qtcJvVe+mv?-N(x2jgT-tc1z`st9b&{0n@ch-CurByA z#FM|}Le=c-%AMR(Cd?2jifymi8&ChXTwNsa4D%wgP@w%4?uHnLR%lbLb_E{A#Q`SxZ>HjP)*Pbxf|T`YcFml5|0ht&oB2 zxc=9Nk9+rq{^hGu)cXZr*`Cwtw;%njKk&CRzxcvjnR69$^0ThI4-r|1-XR?0&2be0 ze*zBF|MFg^f1SU0!&MQfhu8h0D4x{5a8r`^dj358@dAlYPF?qI_~mh_GOmJ`K(5rZpMI98YNz<0CZv8IY zabFaG5hJ&m%hLEoCzE^0S99}U8$%%Se>eY+7q!8~8R8B=^&jP=NcT6tG|Z>|>S{zy zF8FdAN8$Zk#SkjAZ<8QGDM70>WciEgqzfq&`OPKRO{_pUT0#J1zgt+pQ2owT>r>7HlRHa_ zQ>Y9I(jp^WpeD*!gNAOBGFYJY7pw$>s7ApGlBzqL%42n1B$Bb5&_9GEp+C*?C-*0*K@? zK{6`^{P<{hq+|(NA#}TFCEJ;K_CLqCcX#j;sJz~RcWr_%>xAAl@q9}Xxz;KLtkknO z$xv+lf3ZY_Osf#(zD$IdS}|5?;XiCeAwhDPIN3?2C4@as^JDE=|FPYVA1u6ambJAV z8_6$uJm!?!usu0nAHeG1dltW(eqH=p1+D>F_nYN}KgVZ{z;`XTgo%%8$F=ES4Rk9gO~pC~$f< zzMdbo9k17Y4*NOu{vF0*$?4G*j?JNh#wKt$B*qh1*v3O6Sl`7`GH83E;+f2%qL_?L zBrW_jYkRCLD8{7;HFM-mRllra8>@lmWiy%mpKNh9lS@;vY_(Kdv8TN>!h-HP6ty~g z0HyqSr?`UZ{FBoG5IHZARi-?_l5YtFfmPt}a$h0`kp;LHpd1SxK>h#Il>00&m`Lfs z>qhEW0q$^(;Q4q}Mtp30-lo<$>M47|3)5`Uoy;%#kZ#|$b^ThtZh}2o_4%8{Yudzp zJ!U$BA_ko^oqWp34@b}p zo8hVBfs6rF{MD3%o3$Xc2w4NhKpsFRXk|z=?f--}Hw2oE@rTov{$swVDt>y`)$e~C zR=;kY`xbqDIre(tS{L~lxbe}owfWe=08k5(dHj03Q?vAb|N7zF(oHbEW&8SSiL*xG z;mN7z>YD|UE|pq(T?S_gE3mhbO3$rI^^*asv+eAgRVT2#9ZPGYaqe7RzRsVn#k)|^ z*eIK7Pi!KDr(~p_U8Y~$2#A~*cy`SN*(nL8QdCh*K6th3PY)wXF;7rWFTL*E0sh1{ zg72%O0{@?UedaBYKk7}J>L-1W4m~!pIAL-hD?{n(M#$bhy#RVd?$o?=={gux9lcME z9DUbo5{G~%WX@g~OxH$URh{1-4t?*JkFVAf4wHJ*CFf>r>NQ?ssjkZ*PNo=L?^ttL z7cJvH{3G19;|P+Q-M@YUQ|#^KH-&m2Iuls&F1T5c$^H!US@q@KLaYru{@^*g*y<21 zUllFS5G{vji@>BT|FiV|;=uuLm3p3Ew2U8HyY{*>?9(L&K|&+__Fg-;J4Pg z_UP{UeUgbx>Ku}E!BXt&3fThLSZv2dn?R6^BTk~xE5^Ds@PxItgRs_O*6yo(LQpXl zidJ^gP#ypIL&w^;QovP_p~t-sA0YPV{D+b?Gq^qGjj~39B<{X&atlg$(yD_H<<05& zO^z?ytt3RKN`ed@&wZSz#=&}bm;CpT*ZVtXPwa`oFANxzw<~Zwnt3w9%yrEIKm4aa z&Kuu2Vbs)YnH%OLWS@*Q8j@GqbBALPE$A-a6iXajt~E!JH*;`k0HOX8Jjxp z{CR)%s%_elKjcVpEa_mn z`LIwrvN}5O$`{L6oQh4iokg`o#xISTrQGekzNxRQspLa8xOQLn+C0sC2mZ|rYUwt8 zLPmydA+0z~Brb)W&4q(#qTb>Q8QxDCXGNuaCe>MNiPZ0?5m+eaElcNiG^%1uIZ;2l zFIyZgDLrW%Rw3NePfSEc`n5*f+EBTh=DvR{Z?^C^U)HAHR80CI=)NC|?Iqq)(E*_P zk=Ea1rNeFF(s#sJ{^sKV{ug559yk(t!3BGA2DjUeb#n2KUj3ty@C_9sTAK+sI_@1~ zjtAAW)2!&Wtmk8va%|IU*Iv^f!A6=PpO>%akNx)CPj9h%fIrAm!ExtDjV=6T#gS@K z@WpOOsY>KDH|-{En#VChML0vwmMr9}YX{lHa*v-L8y_XBxO<)_+cALBw_*bv`OeLd zi7*9Oz}2G(iE*um4J1=H;-UJY6O7uT53qy*O9FWmMm9dV$2&CYuaBT)iN>H`-2|Z@ zyV>i?^u~R)-O6ZJOMB0yojVThm}@i5XG6D0Dkb))D&(H1MR1;2&|5V;mHBNW1p5ky z>8L7Zg{UfrRj9zknE6|hW~>!sX_$dtWx)c}`Z_oST4fW76eFn#5aAfqE-Ymi?+?+7 zj}dP{#n>*I!n7Lo;{nHSC~9X72BJSu#X2kztWDO4&e~tyH?qsO9K$U~SI|qaTzVr6 zF{|LrVMA`_BP7z)MEc^4;)(AZQA-Y_)9A(f{Q4|d*A2JZ8Zj;y74qTBp2SYc4D;|B z;eb^Hrv0=!Oin)h1@@Q#m)E7W?ZHs{d2e;gd(&yB-OWdLfRNKCNujvnov+Sj9{Ri= z6;W0Sb`JfRa?mG7aeNDNRD9?8<$|IEc1-AFzb=M+QAZ~t$at5u{p;(qs(dajUFui# zM-T2_ALNkx0qFSlSAXh35h8N9Hp?$A=acMt^=H?fNq^i*>K$-ZN)Vry=NNFOZUg9h zEAi;U!RDtF0#<$`(@}m+`CDXJ{~;UHf*z?qLt>taG8*3?-wk#jnp(j2zjVY{woK~= zt#IPW>#ZDUB%lT`EP{;mWZ;>ESg8pha!66ql7bXaL&ZfLgkXDp%3jC;*CbT7(7nKm z5rYk?sByN4vD87M9gu++8ta1XDb0mp_AH!?X{|ah%}`Rf5;NIY%Y>c$-HWz+QBd$Y41KYR-E4S3B*bqLP|1k@ zQTH%Wtdhd-X-(=gLI>VrH4!^Lbhkhw%lGhIVi;=$JB1rU)T-Y-I3hm^40If3m8r0- zRqp~|hKkX6tAO7)Xdu#SC3*YtaD9I-9^Q?mJ$&B&>*9m5mk5W!5v|Ws)LFiF&%JSa z`MJ^yj*im%y-j&z!1=SQL7KrJP@fvOUc2(An>sgaI{Q6)nRjhocok;9Pa_N~#&74@q?!=`;C zZFa2{G!k8Qt%&py&FtK>lv81at9S@at))O!ji=*AI&flceY-Miq0W0bsKcLmr^e?A z*e_hHtcmv8hh1ojkL8K%B!?{8uYak($+%-NQC&K8XOU1{64S#;sjybo!wIXfCiw=> z`x|&EMCQrr2*skrAFfH?@eJwSoRX#G=dQn-P)sWsxV=|S$D6v=|484in|oVINqgtD zHy5)u2?kmZX0GNbhPSf;c@SrSRUy;Otb~Xz?z4qXVNGh_>W_-6rp`ERd*~eZS5s&7&e^YE2l%;#|$c(8YtUBUs`obAU-l(ZL^D!z3{|OTL#~nZ9!cZtgt~JgskA+IjYFyT2Da z8Vx5Nlm+n}5z`5~(;<9w2u|ES;#7GGLDgoZX?-kv{qA$er$zM3)P*K9vM(+dV&v2c zH(yPsMCJ+K!pJqlWTd{Iq=k6sbFla1Hjo`S6sBr$( zqf0M-G1j-fnBe---N5+JD%t|sPSyM^b$aXsEVA}>_iRT3%cPn3=fNt&=&(gb$XqUE z@ADatT#yR*6zP9%dX?>CSJ$r?=#0!W%%P^&0gLxH{uvcOX?nx-j{^2^UO=))p*hbr zS`unDUt`2Ert?xqC`7f^IiZ8=H?xc(YvApDvG%7c-MmYCfDV?633qR&|64RdW)r6QQN33@-)=sL zq;qDNhjb%`;E9{|KRf)r2bmCiXE_GzhT{Ag86*eHUzRDd)t@Jb8_5${0APpkCtEm z1FgTH2*mk&Z!BLYv%!K+N*Z+@xu7jYm(XR2o7OJr9MD#rUue)(9wL1XTcbrJbeu}p zcY=`w`x#S8>t7|XQ{==g8HkQdN7EVDLg~6Oe=0ue#;#@z^to07bQR!ql?WcWBB3)! z>-kYfzV=L*?yi4A_$wYTVoC|s@)NeCc+rXd`pEl;V*clG7bydEX=pp~exi;(?-RrZyrjb<=nS^WO87Jr;0VtZcdJU##@Qkh64MjI0 z(cUTyyguJ6KT&Y^X{zm^ets5z!lu~3fmrV0)GOo-)*3-BwWj(A+nJL#?@jq3)bL;H z^{zRR^iPtQdTmhf(syB0AwJ(GA(uRxj#dA?TJ$OR1&+nMc4<$`^Ig_{TiRR=uPC=%^T5!7RpDa+7mO6Uy=IoZfDnz0Z< zZLaveaVTtk6JE6IX9#88E1%ZK4fBHzll;2=?%4)OO1TYWE>G?5M&9i zka{vJqKc9;7sX4J3(Ip96-yOUr+ofa4c9O9E&7zM1^b_DF163<;$6O8L>v&gNV@8U zD6AHU$QQc%Q78&|si2?xP`o)}Q`|hLnQ}{9-JrSWUv6rl7E~d{WjLW^WxIBY>Zh8; z$e%d$2fZ0IEl>VA`IW^8W+wEs?F~CB#=Ms-Lrh)vE<5bslPHK^0rH6a;9+{bolRCh z9QyP&bMp4NXW{bf_R8^tu`&}PjKeu99zWcn2r8l|wf1-R#LUaNEgr=8vyxuW?%st0 zMq8#29~|(FZQ!^`Dg7Q2Jjo3b(pSOBs>;wy&Bw$5`$Yq6_gK}bHQS^io%ludhN#Fx zA!!=D$YUc(1$ad_A0JNWA8ZkNXZCdjb@dlls0z0~;IEc@XXV^kvr@yJ^2cd&v23zj z0g7>Dly)Ttx$GGtVuOg<8H;6tb%#U#hq%vnZg%XqoXNllS|x0D2LDRI$e%P-Sdi(M z$vrNL2;XREIGkn7JfDsL&9NxsG}IA}b1%uV?fTm|Al>q9kE$)d$&Z%0j`UIksD zUMc5QQ(~Mfg!<|z6;@^WqPQp)%CJf_oX3H?Hqm6&7^e=}ciP2XYhK?=kdtqWwAdxW zfF0^R0m}{&JpNMM=1}yS!bgC=vW3LGPW@e&Pb%G7wfXYhXF?XVP|}CDo7A2)?D-Iv z3nsuZEy1^SII7a@_Bp$ENqNTgi6~q^Y}C|>sDV36thSaQ>F>%X48Lj1-)EP}mkohN zrC9_Zy`Ha-I6c{&2~KP!0SxukY_>&mNZNV@HKZ1sqc9etbg&k~bkJOJb3v(+UsJKC zbs+YE8V{PbEZFWx3VID&Kf|bbsaXoWlHR8bsj)=uA`4Z9Z%oIWQbuJFG~Zr_OoQb) z)xX;`BeQIL`TYl(i_r1)^W9#+5c{{y)LS`afz`9mv+zk#IkPgLa& z@PfAI)o}-i)G#4E+=gSE9T)FJ*T+Ll0#XWc*c9l=g<`B+zMTk4ZDvS5uSS~pMWtl>309@FeM|%YOPzjUuDTEX&|RW`sy+b zGp>PEfg8J9^Td_Q`dfpn9GYd{o^H1~KjMMg8$Xyj?AkuQH#f;Q88trNGs|9k>rb{h zU*PJf+-4Q96f^5D?4&c9D_h1(3-4Nd9((LMC0H17$T8`O0?w>W#vigBPj9}S55FB1 z4`Nm`7}};byh%v}`!fvlGNp#jqfd9Ajv779vHEVDjpe}|DvXcidA>K&iEI8eDdRDi zJ4sjzxi-6YKT79h8$a0)=~1$*QQ;`Gx41XA*pazm>7D#j7*kbHmvY`>dr#n!28=WJ>X%<;2mYl3}bQG+^9;?_TI#>>(U)6E` zyT400&m&nuN(;9JS{nrtAMTLQPB4iAqjX=pTVKnght^>A7*1CAr+1`SMx%H^?$Fjw z1hQkfbJ&=TzD+RMZtlI>H8i2_Slf#Ox{KR;epGSI}>@c$qCbhh4Y?#0VWxG^!dKL4dSbRP}gHX-@4z$relxjcU}-(d-etX+QdED`Tp|<&l~?Rh`4{<&uGiB z#}gtZZoj!lh|$`=KqsxxWnQFb&1c`+<1Fj;8(M?{LY*QRi-~YZMpouAq{Bj<&$kWA zLxNqR9>ySfVkRD^T*jHl)BHfx1ee_X-LQYJX!K4!H)*NXhdV_5OxI+yJqqs6qcl+A zpGi)`{)N`BK#ih9q(?MQsPeYBT}~o>ws~L`Yt#KZ?az4$4-neQfEf4 zt*y`A>GzB;W_tSF2m_1SUjDuIbbHM+L(ceN3ME;CcQa!MEa)kR=JS0^H||~OR$Wnv z{Ye46h({1Ngk;dM@8O)$f+P)woDG>_7 zmB%JgsU90jI`vh65l}_OlmY0O8wrpQrd(tTi|LqX%IK1D$SX@D?s04LBjrRojDOi| zqh+y9Xt>mT7uhN*6S*gD|5u8-AH{xjX3k#kSLPerEHi4&aGk9o7Qg0pLaW&_gD)-ki`$t%KBENkCxJO?(bt5DU-iqpzM9IYIa z9tx{GZrYT5h5E)tKun!F<;?GXD#vGjM-t7)(F^ivj|WuUFYMMDe+x83LQAtY9!s2h zx`Y5h0*!8PW1(=`q|{=!mgXRT~;Bv>`;V58ubrz$m87FjfpN+4Gev0Gbjc znQ%pZE!@n6rBrDxgYefF7$8xbFH_+^(Trrs=`h%Zu<`q2)70j!IHvupV#FDJ_b4kv zrHzDMHZ5j!84{J_SB|xff^56p#&N@ZG>}3P0&H7XZiUrC-w)^twb`JFPys45H<#Kp z>SgqGAEuxF{nK$n(F;W>Tl0ig5o(cdgi0j#)_m@U#ap&6x_@R5wP7_AxX5P2tb#XS z>`?5PF3p3lm3r}4|H_y#p=lS|*q1^xa>Z408HXDJOYt?zJ!Yf{Lk+&DmKgl{4^1(R z4FxridBij`YW zUD?XKZK-o@qi(CNVsnciFiUgweq9Y#9SLVr)+=k_?K-l(h9bLnrw zz}E*|S(!@0vNE}xl;VG+{=4Q>ZZ1uon4riw+eS3^YVNN-?|vsYBo@{A1b9^+SZJcl ze`=ACvw>mYU2&yyLb1?AhiLBf!Ro}=Cu6s%wC|b4punu9lKQejiIOVzTB}PIrj3L! z&gP@oi8oP0TNJ(uhp|EEgLD9bJ*%R;5m5txt9I!K7rh~QHl!AzrleV=8k*4tfbxqn z>fW1UGsXmERa=8g6YjvqhFb%+wZMFWs3BRkdO9doT`Glk^N)VIcjn9YQ4LM%! zw89T0XC3QtRTC|Z*2+eQ)Uzu4Mmbu%GR+dp@EmnH}qW*EAxVGol)$}{kv*xq@nXHGmWVH6y>2<{J zKdMsn2dj3>)ltdRX`(n1m5*fQcG~1nR(seIS2OMJzc=0238w8zXKhvw5;q&gLqbp1 z#8#+^B@tGR)FdOvNsA@gT5=b&aLcK(?w_TDthkD2WI7{DG}|m^Fx3eicb$`^yRwr= zk*aKCn`()6?5l_PfK}|1t+s2q4!c!V>@FG$1RZ~Q9i7LjjLx|Y@7UZ{2Bs}AB-4da z4gNO+B&8w$%;RMd<#xX(=xxeWw4 zY@G_*gkRS0*aCssGY(G7ZNf}zcbA^PmZUO(V%Hgw_*}qAT{PF3S>RG)&RxrxMVmW@ z+7e*B%H@9_>!=mk(*vFEjV?Z;e2M#9fNsExLN;xsQauC zzKweKQ8eJ;PV3}k?4%`lZCq3%>W2VfMOZcJe2Km!>D!7QyRzs?H-rc1eV@WA_qEfNo-r% z837ubcdK%xqTG@*KMO6;mi`r60|A~ z;r|29{A^=gBg7*d>VGmWn1-WP{TV`nn!T%}gOXXhwuFKE!|XJYQ!vT3lg4YVq#_7b zDsSIGOEnwT%Zd+S{u?M(v=-k;lISxG9oa>y@KABKK}CP+a3@D^Dfik>C7p#iSH9~%)xp1ei}=AI=aYm~bSN@W>7 zsQ+Xtgrer zG$`(p>B7edT&S25F>T2SW(Lef6<2v=^1nf4dI#=neWXkU(G6^H8~AR5VkS4x$WgHL z_99}?&QS1!&hP*YNZtxP6kwte#$6pl6j@-YfhG$(UsFY46O^UVLanIX6tZF1Qcd@G zXB?;QZ6g!i5QG(r#Rj9&hbRV31qB}qh5H{yYhge}GF3Rn@jkP`Qe#5opNP%>(Rh1$g2-Zs{o|F*ugDSYf62cuGN`p(Q$PM z+pR@R#8cCQt&B_T=7gS&C%pp7_=Zph7*PhK2Ce~{Y|#>1m4(YS7d*Hy#lbm<$K#b7 zt|7<5T1Z&p&Qs49RrmTd(#tphR@`wDW#DHXp{P~G-9(_G)iE93HBr?rmv(EOC_;`) zw=}@B^yx%0faV%Cm=Z(XMS5se)>;krsyWH>tSr7iSy_lxmC*(NfFbBV@Lw(_#i=5? zAnLk8TRl-)rCckrs2JC7Ura?Xvi&Tn2s#=_%0QIp1N<%G45a!3i_IV)ry;go$LkYX z(0&rPK~8_}Q_PE4WrZ%-JXEK3CuYP zE@US<_}_DKgQ+Su;6jcS$^RG7`i2~L;HVep6!=`%?hFMf2!99>037pU;$AI{2N$%T zDLVt%YkhznAJM3#8;jhBKepA^wc#da-9uG+VM9nhaw)r*5E}a|Rc4>R6N?V_EVaDdFr)}TNsYI$afCaO8qp6Kme>O8Ixcf4 zEPXWshb#nDH3D+y?WAA=%NXp>=tD|q)N;T0wC^rpsgWyWCW7X>P-JjTld|{+WF{sw z8?`>*t~3-{h7@7?Mg!$la7|gAeUV~-#9#!Qf~S?(W^q8jH`eJF6=C?0V+KsOYJJfV ziNUYNt{+<6jO{*n9QU=NpRNTP2sGGz(8Pf;0V`p-l9(@siK!?e$}^HJSKmA}pg=8j z^?ABWqjvRGE_OAHGkd^mUWD}ZJ6`!up!1lGzc?(z_xH14;&}u&SmFx|uAah(LDNAk zn8GDSjASbk+*Z-ZMhq$egstiQf`$!yBXIJHKEqWM?v-19K(u?Z3OQ_47&S~*n_QtE#snJ=F{Ocg6!8Z!xg;DsQMl=?0(7N+ zI_b4uRqPC@*zqr@%3+uil9M$tO2AiEf<&1M*qpC1xIe$DP(5>;`h+?La;&`>Zg}cxfs*eka833Q&DS636Gg>>U!Y_3#I?x-`(Yk&@J8|* z#NNW-BY!ju&8MT;7{^tz>S3oZ{??yPhk~boQ^Az@4;(;3UP+zFs5GI(dF-lZ8(^YM z_hlJil1%pzQOx%!>l6gT$1>tPSeUa!6$D+4f54iLdPUeF(LA(GMc9GjHtqe*S1#a< zLeHr?SDEz4+=+BjD2OR+5`2hc*ScBS1kXBw7j!7tSV-|CeFHO(DZJN9mJGeX#vM1w z)4&GfB1xi>K_tdKsRhO((vFi4hS#@MX%7Z(Xzgej&j?eoz*0{~NjDH{7l?-~3>dP{ z8U`=wTN3!fZ&)|6yqK*2C@AU$1$uiQe>a?*7z8Z{eSu6)it)K#E33bmx zuKATQ=^am79m?^h;iNnT4SpQ13GMD0f~n*=gioCcIOm54x>YZQ zwuK9aVWJ8uF6e}+T;pR-eeUCnmWC?STK@6rJ)vM|(@tnu)3Ex*CXK|Lbb~C+ViITY z{$3jpnitQYtDIIaL}G8A-q-(zjd#m$0=S3A-VPI_o_F&-MjB9qt-P85aYxxp)$U&o zbfqC+e>5^va_01HxE}i?5-l??w<7lXo9iS~3NW6TwjIGfKx@O`c+(nD6*iTf8HPq% zqknz>aE0>ret8YZs{qscm>%K$pV>ife#i{3< z7j(YJ@-@f`+p1LQV1RV5=;d32l;2m@4$@w80l(2J+tvZn@&VEllZ3s7VRnf!$*`JX zKD~|UJ&$Dz{{j8Kk>4W>{sMjuu&wkm%)XgpPQsA|e_8rohT*>1Da-J(LHw_-PiCI7 z%M(c5j_J>l<({BW3k<M>u*dSJVi`Ep^$p|%x(0B$MjhY=PtIn2>?px?$VT;OsHj=29!4629y$S zhjTYL=&bX0l$^5W^w`kjeAbSb)uGS=myy!?oy*%2S((xyGI842+W$iDe_>`oiOn`a z5CEUqa1}2I2=~K(@*Yt7zImT@gb<&76cV3}F;o^QU@vWNTuxXR4cG@da>7vgPTYWkaf5tsqrTD|YK& z!6J>{xu29c>!R1~Hs}KMKXxtovE7Nd@9aqVPCTCsef9Imy5f!n?E6plN9NpR-T#WH zw?5;(FmqTRCKB;!S?W&UUi;fK7fZZ;4-vMWnmMct6O}wL!_7bZLgeGfPpNs2F{$bd zO=t%Mz}pZTeY@u)b8r9T-cIUnTwz}y8V2<6Ws7P7h6XpRlb*~-5&i<6Ko#E?d@#%C z5@v}MuKOyy$xeF9Cq?kG6iUk2!=rO=Od|7RIEx8Gkm)Olrr#C0+r!&j2MVBBB?ovU zaqt#{J`-J{=eNFeamihaew%nuR*X&p7g5W9{9WdxTs+|T%eC6UR>B`tqSnc-+GaE9 z+J+4eU7KN4y?=CX^+nHo9@);TJe6m18@nPM@ ziqx$<*LynGV_)2JH33tMzikdZ+qDgLy!sWrEfk&Y;!aRy@!Y+jX8lA=r)wz~*`yv8 z4FEuIp1l+JgvH1-vyT29!$YtSuzc}7Otzi(nIxVK>E52OwXZujpTIq0riZ&@tZsUh^k>^`s%&A%%X^IsNse z&~0TRMK*oip1AAu;B=R{iyQW|QLn3yv9>R#@EQR*8NEX!l>mVbD{b)MAtEM-*g z>^UxM8!pe`xTZ(9R%lZEeC<{4oFKV55=VT`21n3T|M{O#d;Bec2i+O$X!z$F;`(i%$@TU?Mr`W zgtF)}>5rE?*9$EWz}Kg{SI@;nb)vJLFP_II$ zXyCv}G|=b4>-}wS>+NdnIbXQ@-Mb~Sa*6Kb_WJV2mHuCEnV0joy}8$&#fvyFIv$PI zBWm`(^Bh$065vA30qHB{mVpn7p7I^qSGXB9Zsj9X++8! zjY}TYe*Ewt{Algouvbf#pTd6qvOX|&&kY}xdl~Jq`h<478op8VogCMtCQpS3FK>9@ zb@&bAkh6KS6yx0C>z};PrQD~@9{TxPl=jh9TNF4txOQJnWRN~{c{0x0; zKKo-J>5H5M=+0Q}zmzz|5}O?{cgefItXjXbF|ZY>ok&d6sn|Ya8wB4`^?jKuBSIg6 z&%O$+7$bqqFaRuZQ_d5sFX=$g5wXhVg4SZPOVr9znAafTNQMc@03?S@&ZS4YXvAmaR~ z=S_t^4MqE}_TFj=45L;xu6DoeKc11>72Ov)+!P9$9h_l_5{VaIHc!PpUexVZAO#L zr)TrUte7({c!j{`JY#EELknMY;^g(JwwJ=B7JT*67D%zQ$$z*FHWzE5Zz| z(&UrG2&m(bCr%R4o=AZz5);Aec!#G8Ei`Sb=nmo7$thkPRaaV#Ac2$e%3%JC+^=0x z&}*5Z_gCPs1Cf%MO!J9X#5Ga`WG;!YCaU>ight4VM$77Xi=K@zCkB~dx}81NxN?Rt ziLD{6A;<6Das_Z@gs)|Y*b|MzD8iaw)~!3weJ7GMABkLvP#my9l{NcBP}WDFAPIve z@^rnox0C*isYq#mbgTFGV;8*U*Ic+oU!#tgw!UNf7{ZF+7qO6CBQy8WISVt``qL%_#GXlNlRs*g)1ZLF z!T^-nz$xpvgXsMaMgaSHWRLJEbK;hPU|Bo zifqMySF$mf2hxf>J7hf#iz0Rhfq$6|!WZyhW;xJ@6xt9$Vi$#fReb#=(%T)_@!rE4 z=JL~YejYzT!S{j-Y&25V^V4uhlQ{dSC<8Lmv4bLzYeWES_;=ko4=W(IfnPQ~v1mR# zXyxpKL(6-{YWcE*VHys!Uo~|T8&qFkKd_8zjP$%eWn+N+9$em0&A4v$iDh20;4Voi z)ZSlZdBIz4BA+aE@kKB;$Dn%9H_J0X54T&d2kFXFy?$OZod@R^ldumKP-Hd4rX?RD zQJp&pZ{z|+g#%tXCT+`G5P#b$l97^T9n(3?2uvC1&xfU=)M{BNl;#^_U*wAhczXx< zJS^bj9B#wElA}PhTvyY14Wc*y6CeP}Rd4FKw{cGi^0b7#S z^q|E}9nYdEA2!JQRmkdWj~sjQI`QS3*@q;3t+4rGd30up;~5%WEy?Xp>H~a=s|ja= zN3(X5k7u0_MGHjF^G<0wwrJ6=Y5ERf^R8*1zK20-)?C7*9AmsDLD{H@~0M7jJlo`aE5S7|oA3{`$%gDb5vSM8lX z(xN6UZg|-hnVx=N_0ucR@9n|er8#dlpA{oN&!|Tz;Dxq8;bjo95~+YY>n6PzmfFRh zn)xc7s)VAJJ`~wIe6!7|TJ?6>E zx8qr*hy^+uyNMjW#{hK;`Mr(b^hNsPkOe%GqX6{mZYsxKxP~@psfktz++(qS7@F3w zK9vr2KBMJs)7l*Vl$4b$50WDipwXJ0W|&Qm*m7I?Ho#$<@c|9+B*fDPI^~VU<4af! z9>9N%m~nIV6zeU#^N^RU+=c)2;jHK7c%UoYiPZU1cl=h*+Rn5N?8)sw65p_He%^WS z(|%XvJ$Ny&an5Y2B{iSuc7FW3OAFPpo^4wvQ?tl-y6;}K25#WbXUo%!t2jOc4yN@Z zszlOVK*rsl5xOROYn=xRFIZPlm5(|xNR%a-ysAResG29!`b^V7X?VDxb61)UwfX4| zgaT-8r-L;r`ficHd#;fFlMmpN-oXy;`=n_fwc`eb;3d*3s#@r7^z05rvc9i3gfoGW zic1!7lE8xo&J2WbgWX_qj*hyqXZ=AI=EWcwM#CE@(Rfn zXlQ}e(_L<9vPy@n*6R#+G{w7GksM44Oy;`h2%hvYLt%NGjqfcI-v1xzH>kGhpsi9s z?VZ#nH2og5r}jVwB-0m+>h{2MJ7YRr)tPQ-DzLK>Uz!Z5bG3l|0goEkpZ)2v*$%df zIm<7(6-M^R1{PWLr%w~|PpVBUx8rd?1QOtxCEhJ1|76FyRS4C*~ zo}yygz}F4no4c6BZ-md*?9-nHXdp9}|I5p{a9vvvmNv&|$7|QU(MI6?+d!8xu)*Rb z+kJU7)nr)l-02CF4wi2A^g1tk`9^_M=CJ4gkVcMic)ENf0;6DQd#ZD4#KPJ>v!?;mVCA_QxD0^T34 zuEwrc3^KHMC#9EPAm~B7lg|D7R7rqmwv}! z;Vi{tqqOgHHa#LbAP2(-Y>$P zfekvRTTnGws`l-6P}4*jnxO8LFivmRd~Wiip@K!O^@!WYp1^xI>0Ko=h>9*?@ZKwK z-oa&em{k@Kti7J14fw=VbRye|K#%8T$C zSd+AOpPbWr!o~2H4nJ6QxxdL5SlY~$0xGt462)+*17r3Xt_S(wVEUlbMf68*pY~4b zXR|hXeUX`)>}D*y;->rmx-h=Z_St6{p=wO$g>2w&9=%<4E!|@nEOy*_m>ylD?M7`fl zSaz>xzz~=GXX}xD4O>-L2~K9h)df?Njt`Dy2TYh z8;d3QF>}-0|7O5)uu^b)R!pJq2%WA+epY6D1;*d|fhD>B06%X2 z;>5nmJt$CNdJ02XT24bU3k!HGs{^FtvRtW-GZGGXe7SvKKxe_J0);+GO~bAOiOjD7 zLm!7RJ5{8hz&h@twE6JOC#2$?Z@;3v{Mn5#jn|a9<#1u|l|hUeb<$}GMsy9C_|Qr- zn!P1?)hmo!k+FDQ4?+a=1KIcH?SS%bQoq}WUt5adOE`IKTS1q2yeXTsD)f#OXk2zo zCYU&H$k3%I%=BTWDxrBG(LvCb}NzA?}b3_v{W%_RvTt#)uv}teLUmrZ+L=RzqKr>6+wT~A%a1P z5&mJ*D`u~=3x1>B9&U`zV?3Y~LcPSSEMgUk(O5&o0O5v3IwAKD@WO9xWm}s+(Z)n) z8UDzFzdhPoU#gFCBXB1O(_|;V)$I*X^0UJ(3mSL(OkNCt;V3k5;&nI1RQrIyp2j;; zvZeSGYX@#tS9S%PXI~y!L4E|?-2vW8-{UeYRqeC5bYoPAsc_zAHr^NR^9e3olzZZ? znD5OUGBmu3mWm~7P-)etUNwaFH4v+^G!nhX?C^$=styQ0VikkZ+^Z3fA%|O3Y!KlM zJe*noeAKF^kHq0PYcuuFH8NYajen6a{>>MF&h#Xm;393|;7YLVrrd)TJU|+8gZhH$ zXG8H3p>%%PBJHo}f|>eB)bkWl>V0SUs9E^;=orl@cR_|b-)3Z8Wa4*1{B6Uxdp>}jIPEA1D(-vLI*JAH-!@cgxmTgBiR7mcVRjHDYYyk=0yGA!u0ddD=&OTWQrC%7R$J_38OvYWOWN)$WsQj`n z1*hZmEI&ttn&`D+&E;Obm=9Y4Zx6F3OF(+1=|zO`DV9ixosdWkYS0oc-o;$FV(c2? zll!xvYYpM@_g7q?Y2MA1sLL)R zmF$}~q!7An6Mw|eSEP(F97!33Vk``9C<+mE6o|K1q1hiTe)#;WcADHQN@mDflYMpP zClD?P{n`c-NaTpFA^jkZ<3)Kgc*N|CfLD2fkOzbIlTAYrHfq^hi)J|ZM9VhBqM3fI zw@p#d*+vi3zWOuy9PE_;odJM(3{#PHc^c(leg^$%H20gCpLB?9E(KE_h1(P%5hsw1WzYmG{hFZo z^Xsx=^vlnlxF$}G6vy20C;ryCbJWRXUjsybI8mm~jTvx4o#$zOF5x#;>?7xMxm%~= zK*HCV!(Qn?-lyRYN||seYp$0UG!d$KG&!8tOzRQ60eWXviz3#2UKzzy1*CDprfH{aSUi1WYE@TJx z3M}!d_LeR2hm$TJt$?e)!j~?T0p4J>2Jgo5yMO|S&@X2ib+6yWd!vYNNS?>u5kEEn z{;s`mV=uKIi9Ix^Xn}M;I`K5fhDRM~5K`ktwu&|7WhKwyomO-Om1eaBt76{DnGg`xL=MV{racdP3VhRdJ8u$U|S?R9TsD%!E)tFqb z_+QCN4w%(?zSTk&Wmet^jx6w?NO8sOOpS#3S7HxdTM zU4m_OfXrQs=&hP-eleSl;i#Ya_wme?a(v6>#PRE_DWOmsZ{?J~uq<-MGKwEIkh+&g z(JqcWWfZq^f8pvt=0#D*Acp(n6JGABC-WJHQvmIoEMAEPhjo>N*%YSOXLs9I{8Py0 zKd^bkDLib~w*j7~!yPSEl_fH7uHq4-(rIp&sBp0p3Ipiux=OUoKOC}g_K++*VY}1c zUKyO@GFZJK9yVzA`5bqL`}c?kv+AK%I=xmxbKWTy#|hfP0{B+YWEK$9MYQn6ldvQ% zk_Y-p8}Lvju)`GCui5)+vK?|%CXuRGg?TnYs=WvtUtD&K?RT{g&_nBSDHh_6VfL++ z@6dadh}*uSR!3>m6Je=^&dp)ceqZjI7Czjmc^UT>5e_XB33$?1Zn0=fsjajboom}_ z!mc!r#zicf&D=@3p-QYfAOFE@dF0NG8FDWukW(}a4~BoT5#?-1KO#7|6zJ$^!23RL zZRW9kT<+t<G_w(b{nYanwP+?56B8B`2S*Z5vLxCiSo{jT01vLjEhu@)8g{W^Rj>}PBo}_yY)WHth*{W4(U#3pvJWnQ}6kO#y@yRje%<0 zF?GtctG|d4YF^#8s&CH2ya%fVUzNVr81Xi~yFxY zbS^2e)P#2g0M@d4z3el7366`TjpwW1Tux=Mo(@D~rO?q3uY_vezYd~BxV-{7{jL|^ zf2jE|H%Ph`3*`fD>nCn1tFvVhM`J^AwW0#in*!fOW$r8z_%W6Ylje#NW@igcSj*d% zTGM%762{=zDm>sfraed$@b0-BD2pP^ULAvfb4LPvQo*PUdng9{%!dt!qBc>4QZ1x_ zBE<30*|ec5fp=BMdeE|bv&~6k#LvQAeHr31VwGGTs~GK*o>ct#B1%c3jltASWT>ke z>?D8n9{AH;n0LYIr8<%CIsLH1A4#rSYw|*VGMn|6115J_Coj0+UhwzM zBN%Ebk4W4rkTL5e6)9hrXs>JsNpf>ne)lUG-jQ*=;*T)K1V56oQqap(Hu^M10Omn~ z2aj)eLis4IqZ7zyUD#?VhnSkDKf})-N)ozH!YaCIje8RUBc)_oXs@L z`he%Mc0N@@F$&vTgl6{+lW=9zI(b9)$aHE4BY$~r(A{H$*6?J5j&gnFv6m;bQCvV@ zGmLHq^?rri(cd}u7xQNEsLKj=!r)J!=+;3I9&MgUw$u)8>uGZC{Fh?dvVs0;M!zn{ah1N zOmYVl#~YRYKDofeTo%^9o1n4F^XoTXewAR?I|AN+V29~WBK!FVr@QaIdignf;g8pc zUjcf)`|j(PcOM?)2M-_bUw`)S?*8HBZn>QSgVFJu-~aFX-~IdaPwC&+Km7SmcegFI z=>O*Y?^U1Am&^5Z+0tK^^X-Ih$1YnE^_T1Uv?kMGJuU0BCO_!5UgqoxVfUgzaH;k$x1 z-9P;K$3IQ4zxnk~KmDKA*Xi{~QlG3Ub=0|TOQON~x^B~Skr$rVQ<~nK*1%)uVfnAW zdp+}A%eLlsoowAVd}j1^K2P{Q?s82g$9daUd_XuoEco)t`Mh$qX_z@-AuaYa80S{4XI(Dm%T30SCoGcmvSCA}(|W_f z*F-0$gtz&6=HfSez7{CI%|b7yZM)mnDdF{;(II<)pMrSSwBZZBOKik*nbI7tgH1T) zNh@;ZLgm2DdYUd*QaP~0cb^443AWA?K2A(ro#*qk;oi!yD#U*y!td}U9$ms^^BcU~+y-Nu z#IoB;S|-~qiD~h1-NJT>NfwOiqy*URpV#GI+_<{o7aKP*f*bcToy)jsH}0;t)Mvdp zWKo)MMBU=+N=lH4;@9id<6}83R|teKv)7+~_@9{7>pxHba%Tvc`5%gd>4w{u6aU~- z*lEED5({9a%d{qTN;ABkl$P=P+wDA` zK!UUdN1eK(|!n!(|As=`w`ZJd%a0b#%y+M28v2r@Kp9)rK#9 z3yzaY;=BuvIrr1v+}3|dsN|d~9E;F23KBu!DJaR}yYm9FT^)g^X(f7gG7LiTf|Uu! zpVuVOR!9G-^BEeIG$h=B`x5cAEa@NowCEW!@_DQuMb{)Q%j+@ycsk=nUO#S^B-B(t zj@~!R`xf21qHjrpmA(bO^?iLok~PPq7RRZUU`6FZf`K1rpo~ux{tnONJ*a6ymML>1 zzDrKXl#CT2Rbk=8#G9j*^&A+M&C0O$&guzcq><4_sNg<%Rq+Ykv1k8ZwNtKs83vjQ9V4VeiP`?6P zRYNXUMOJ35+w72)-m%D1FQv6!DqrbefO(V`Xcu7)*QGEAR^rFtLUasrL-l^j*XsR5 zmG6>%-04zH0bR-zpt1ZE(AwEijB&|S0}d`E0S4y_AV*AtolJd z?Gr3!+M_b9gAqu4Q6MrFrf6^XpI3th%j5^{;GmuV)m!Pv$M#f<^EU)IEIS@n|MlTCXgiDTlk z{ZWV-k76{aIgAAz8x6}0qd}FT+ZPb^n#4k~?TG2KDlU;Os!L=&FwT2`fE!mld~*F1BIzif|jswV!%Q$2%HbgGV0V2>3JK4A+h4u<&wo?Q`E zDHsQi^8`sDHI7fG`I^SC=JS-~_6(^GbEPmco@z#hF=&iDbhgOHYAYMw8GEs{B>fkq z5$p>ym5FJp$!rFbR!q;r*bu7;>rU@a;&w~IBYVk|>yR5s>+PU@-Y`>@>ve>J6bHUz zgd#cIrx_^XOc|ocDx5-PPFgYXEGY!u&yUC;%;-ooQA4$ps-?ZO3kWQR&m1EMr z!WbZ}+<8wMcdHhL}>#U7o#joHDI-nHGV)fxhd($#IwL4R*xsX!o)hO0xALn`ln!3 z)Tqa*s8Pi#)hM2j^OCtRV&ybviC9t7R5*rev8fJOjM7*Q2t%>NGY~>JL9x&loG-UC zWD{pfSDE%5XclZkZw8bpOaxk7MRG8lp`<95okVKzYAy?LDYasWVv9=+Q9NJL#8;IK zHEk3%f?clE2yAi}sz?L7Pz5A(2~~iSsQ(scpcnl|ng`ioK(i;+85$#;y2PySI>u6h znI$;k5KiTaqdS5%Fx=#{M`1X6H;k0a?p?ES1}s23Cu?lFVKXH51hO&oL*N}#_;Lel zcA}diICL3Ba5%Za@ZU_8NuvrBP8lXaSLhLA%rsMxhL9mtk7UesgYZifMhlZ>Ro?2obp zJl19*)RPIUvV`CM`cJ=}V3f?_Q{1_jxVVIxyh<IdbYGvd8EJ#lRN!lFEDt}tX8 zipvK*;bWCh6Jq)*!kOd9EKQRkhtD+-ljM}DjCoB$=|&nN)gYOMwc)`nngmR7tF|U6 z>J8!+OInqrYR|8zjN(S+CD9Kt$xpA`BtKc#>u7{UYajJGrG2ES!M$TbNarmYJ4@H$ zyl3dTwMi#r64H4W5^{7QAzqbK-YAlIp&3P-8=`F{`8l`SdA$IqLn@&Z)u|Qpjfo`{ zqGZxah>}N{-YjehOU7H47S-kM+aD%2g$%sd6v3h{$8gGING0rg%7#rRSKO3Es6iz& zPehtfD_=I&=scMY>t!vpY#Sk~fW>q2;`a>}4`O3yOWYVk3K>|~lw?qaO&KZH;HStB z3w~06DY$4PKIY)35lhMCqWOx9@mWPIBRLnZfO9Bkyq+0`=&5n8rAWYvFyOLQMHuj( zj5e|p3U1`nk}V%i?tze;2?6ztC+AI_@6tvj-L$8P$bJKhnTizYL9v+OA3^AXJ|My8 z31pN-^-IyD52v(y-er_UPB%?|{KGxC?foYRD*Sp{T`A^e7{rdNR76pESy#Vyc z^i6?Zu4y7h?;FF4ncmaGT7>v^g5m%#Ll0uWq_dYKi5Nf(OKPYgj=&VAQ4ZyKrkuH=oY^cOQU-Yd2o-r_JV2`9RFokZ zy2xbF*h5K)q!~waio`SX3*y7fFK|2qxkegf7ZtSFK8t+DwIV)}5Yu3j;k4wc+!)k` zl}c?10%5QVkv+tXiA|c58rq8^An86^ok&>_sap*^Qnwm-S^7bs>4LxpXQb$CMP^U7 z1PRNoE$R@0RKtP-cnC3A@Y>WT$20a72FUCy43LbG z8+ii^ke*<|rY9Ih$zQr4iH;}KEg|lek$v4@d23jtK{l9UZ7Q$?*~T0|wlN1~0aLf0 zU@UhV$@2p8q@bz>V8~JjAbIKlqDMXVWq%-ZUyDx&ZmS?D`*(phP@SJ-)y;JN2CUMQ zM#PCvtc627fH=D$_nd>A4bG4hnr{uqi9j&|g+MU^g+M6;D&oZvXcjL+0)?GuG3-Jx zSYVNuSfEKvEQwJ+L~+y8dY7!a72!0Hk2>7ab`>QA{Z$svIP21z418-VMKWP0lQC|= zWRzQoUWf*umruy{Z+tx;nbL)CV8d&;prIy2^B0xnwXiGBAE?QkKTwktjZG?BedU@2 zFv(gyX@{-0L`RYA47i%KgNb9(j&|)SH886o=1p?(B$|px?z4_gqgI2hadg<(a1>?q zB5OIiF(*e?=A?5~F(*ba=8PjC;4@zuT`ch)BV~9XM#>Dr8Ywe_RFN_>NF(1J%42Di zhispsQ-p!mEzR(2bUo(h>1>9#o$d-#pF#|bl4#(D@F%00!3Nn=s+_b z0^9Sg5pN<3j6i5yD1k7ETd6~Gzpf~vED5*73UNUa?l6?WR4|mK4ia#naY1p-yxLre z>j4509+=I63KVY?0h%+4AdM$Y#k8;xyya|2k6f&G_I32+2o&k^`nw5YOX;Oo_9cmIXkUWJR+W0TC!(Z{$f%^1$Y=wZ6p|I06r#E0r4ZLQ zltNga1?X#vC>UhzsbWxMVA^3+I0;G2*Yh$J5ye207g0kIj7;KeIj_ReEDtpFvT9h- z3n719w#&xh>1DCD6qybY`KWXsxC=9(CuUhy^X(ka&Os%ad3^i25z|zzZg@`3H>nN7g ztP`<>rKlAt1sFoR7ojE0uaKnHCPxe+4~kiLX~HllmE;+N^s%NS*|-`ZLd?v(9?B*4 zvEqP;)Xmq{nsTFQurB=9sBelY+g==Hyh)gjljPXngOFl^TvPc-O zmqo&4y&T6w>|?eA5&@w$9uR8d(SwDPFX){^UAr(@u4`|`WBtxW>9e*w{DBvzrWv|~ z(13l*{i|X;G^DcAy558ze)Hp>e)|3NPhWrj@cM@zfB1j?@Tb>5{^{5M^M_vRT_Joe0=yiO5u;G+E#=FyLJL3)V10n6q$PACD z3HT=5Tm?6l?I8hW+#WFPP@kxUVuz+d)iIcaXD`m|P|uCh3(E-!keMqpn81;@sM>M!qtv z#$06MRLV(>*=bEG5JzfGwA-|cWVM$JL2V3`3*JroO1v;%7+aG^)J()DUO#;c;z>`@?Zga0U&)YE4jkhr{Q$*k=2F4^74>_GgR+7_6 z5VPpRq!a9eyh83<0-nho*f&y*{Fqo=PfQfbp7QWyc0OcJbHM0L3zUN*Aaz-w3#24n z>!LcOGmYwi%`6TMT9gcsPEqo~8R?vpSs1N}h)kJz%5@y4L?Ibe(kLYUd)?9_@kS|NJv3~h%TusrD@wSw^TDecd4ecOU>nFty~@3NxSgiMOD zW@OT|PBJ~6auQc*QAF@m(W1!UH%&thuAVHHSBL>J~wgB0Mg%D12JY=aK znVUb{DjGf~z|{NCb4#M`fBf$EKfHoE6LA(3?QHa5$x$+i9akcg=m}v{TcX-9Z&YO0 zY7MfGKOjv&5fZ(araVR+IIF8m_V=~c`gK9Y_~qdV-#A1fJU zp6A-c+B~_b@<|641SgqqBd=?P;D7tg>;L-KfBW@sfBTb1Z?e!cdUO0lguvM;&~x(b zD+LOw6bBpVWpo+zDA|xCYObf$LZk?KCNcV8+*94sLdM)h>r~b+^^97-_WNY6rB|qx z0S+t{^abud(^(?1qP=|r6PrDac5y5r0PrL-RBXdVY; z?DMH2MabhqiWKCeAK6&oxS}6K-;I8b7*q}yp|DBTR}66QXHnANPgByEB5QDp-KTCI zjQL%8RaN+%EQeOcrNGkqauHaGKCYr8&SIMa$tH}n@I5i}VE-yvWHEpxXv|~{AS)so zQO)*jzC;Ju$37Ymkr-gr8c0MY_otQqLs<%CR5jKo(Y0@#Y;^>^F6&J~%6rkJYC({0=OEM z^gw)I|Cm_2>fTZt!Z|h*NY&wiG|scJgFtfRw@71ky7QiD#<(P?8FDfn;)|Uqh>x&Rg#0Z;D+LAFa!Ot^^>ogcE6myio=EtivXu*gM zNbT@L<*C+(X-IpU{azMa*k%^4V}>)!YBf#yND&EP#HUI`qWY?=o4d#qS|&+^SDed> z*fy(GO@1MAm_4IpC-pa(p4>$u2PU?>p*0tUixn+M^;b%FN>-PobWJkg1OiZPf=Ha*=wIqact}bPM>(phU*~nbX4X0K__oVY$5-%G!j747=NfI0ZAC%xo`umE*%Q^f(U65lJjE-m} zgt$*MTV&VuY6T6dAvUAY?=FCqR_4Z?kdhb3Z_%X}oBpBQpbke{a|4^E_&q0>@87R3wEU-Nl*@<4qa4hj^b{G$x26#8c4WlF}29Zx6)eA#-*$1Ji>>yBB_-I5Cm zu!V>`lN|~L*ZC(AaWwx)`M$bDCcFpSJ_`%FW63Gab_Zf1bhNoZNvZ+H#a11K1IEjxYI$yh&`X^r@VNS4{+ekG{j2wE*lA4QP}u z+>WbCPjt~TBAPZ*-UY(u1b8-mlD)ejna)E-6ZWDu{I&2P@oBR9sSpOFjEv|NhUo zs|vBbQ>P-1{AjT~(w@fl$d0Tcl%`vb{$`X(k|a~bQk&Kgs@c3@ZSSqk$6Rs6ml6F1 zr6@}D;=UtVm*x0vf@;cf*qxyk4Am4xT#~utWGHXlQW;9qPn49<#hQ<{Vw*rEoW|ma z#HJCEymZjFf&fS6bGs#$p zljX0bELi?($^tTuwhL`1h%8A;mAxv$`VCqbVb7HWbXCRSXk_5D(KE1r6ClQZ(el*pg;}{FIUgwK^dr=y@}S zsY;crfqf==O&wUJDAl_;+l^o9u_w}#)KGZ;Tx)gMTXJXmGMH+JkmKST(W)XAfgwC4 zv-NgEX3Ufgr%6xYX{NEjOjp)bqwln5^*eQ^akId-O8A;Y<;6yEY{vBHHz| zk4OkXJD;?)QX;>hw2~s$e2$ty9w?DYxQ!x~1ERdZLW|k{2o)MINW`|poXD6KA>~u$ z{=?ug_uqa`st5Kk?Q-0OkMbTyJzV$%c1;N*pk1iRdY1$u5JRe#?O8(ngz;^QpMV$S z>H(R~xoB-p25}gDu=f-M>x@!*aXkqHy2 zth|X)SeEL}@o1~=MC4((!nKvyqvuN-$;%Zz9#*>0-*)!B1p}-LEPzs-v6XzV^$>r< z+;Z=4P`+_yh`8_DaZ>8vdO?ekluV? zlL+&I=TxDCx~f74yO?w|kfi&po@aB~fVEdt7I~&f$msi6zOg|}$q!H@lid&bK@wE(?#y7bT8d~jz-F=m9FgMs zG*?Ci%^_R2q(W2zvO9*eyF|FR7rn1A?(K@bRa3y_m*8m@=Lw!>9Wj7_AVq^Zvn7=k zjkQZvEH+j;T$d{_A{+pD!t}VlfRjEsd17<67g9#?z8)0}G*gigRoasa^^W(cs!i2O)ELpj)LP5D&6i5zWj!g}~7n(WXx#8t6%O(|0w z8J?sucipHV|hd1KNS7xVDU zw$d@LgRvS1No4CTft~Gs?+up?au*T6%Dbq=eu^e37}h7N)iWxf5Hll*T7}h&s+Sld z&hC!v3nJJ;w3RgB4(8%A+d;9N?M-Srm&J(YaU!H>9x>f9(s3a?#-R&pBq>f}8o5X=Y_$+T#6uWh+@rwSkpjf>wYLN3!o z4$eh-0D%>H;DDqD6Oa|UDFMy#6E>~PTuRtn<7vMrk#%~lJdlO=&nVxrnL^Ns{-QZZ zv6%Ij&>|8ms=#*QH&7mS4y}%cIo-i%m`Wdsh9%v_9YHjVbvrx~OJ5BkEXEit90o-> z@fNbtJRk;d&4EHmVOvx~gp5Wtn4hK>2q^s~3Zm7GY({pShCr+n?#fn52J4zZ5&>?& z&$S@9oihtal1!=W#kPo*k@s+#b9uCAR87Ay?rwB|MQHsf0}S5j5TZ;xbu&3884FZB zI}6(UcIzU?3-m{jwC-YL5wsfevmP|GZ?21-;k7QDoy(cai4lCkU;ZpQSd9jfwd}AeA9zwsW;^SXF0r|Su&fv@uo68nrCdU^3_vT8HP{yA z@;4{hZqp9%W{jv*#R%?F0vd5CaOUIHzAfUqY=}J?tFJDb0iI$=kQc-VmggM)H-8{) zIg`b(UkG7^8&4d%jECjDt&1Gf*6l&YqnaAKK#Y}=?XBE7Ex{P6^C@FgDP>Gd5+xQ} zo{FPVxyxgNTxSjdV6h8hwtc~Wz}G*}ml>=YKOsT+o0^tuTN&oGH?$s|_-V6PO1 zIFkwrM2bUIZFNJww60Er2{O=v%c|$68^j@SvZ`yT)3JSuD6kv zUCeo=Eu#-_DSjTtb+6YO9i>6MWdFNrYZ>mNvIVzE7CMKXN(X<(p2Pz!oiCM1@XTGf zFsjsCfua@`lTx;`9MiSf^!a13k`#T4zPsdG=2St_d?VRtVaL^xjdhWGFE!E(C()3^ zf)%}dS|$u!Fy8KiK6@0M@uh+?2oA3WH%XCgEz0av}p;+QTp+&0G%+isv6LfQwlJiCP#GkNwIF~7dcEz zm%6fUrhY9OBDY+-yU(abq=pG}DV`l}t@YV1b&|>tlI>^Fs46HYRiJQYbgK$4S+ELt zHMjOJs8y0|065HUH&{ZF+dPYMOvz7N>Y!C{k5tR5bc_amB%7rE5O0=O0grcDKubi zLN6No_kmc4@@%5z zlI$4tlk!EKK10gp+L2Fq0g+4(NT>pPph%rxpWheoyOw6V8<>z1(_6uX3H+_#BvH!2 z*&UxPa*%Xn`B8?Yvaok?sB$q(O*&vqtDsy;nvMa-l90tlS0YFP|8p`B5R+5X3${SO z5>ZED8>A%>bswA)*D40*1ivEm7;O>z+V;j`hiqpE))$^u;+$HNa!Qpg@F;Z#m=h4i z1dl!xg&}**5+^WD-fI`fq+99c0y`gdWZtT`+BhlFMVYHIGc6;vG2@PG*b%GWuMf=^ zV+4^wD;1+#&B&KG_)4~ep{tVZkie;CJ0z~eQ1XS=j@%P1Oh+R(0IBZVTOq$QMe z!^7cd*il-~SDy#hGTUV8&JyUd>niHJe7SXiXRT;b<{hZ76QJ2jnlPl&5HrQVa7CJ? z#KuvaiIRqVkZR2~vRb34$gP}AuG+Hja5D23WwS=dZ4cLsf233NwukY$7lsr!%Xt+}?ECiOMctg;Jyn^L*wBS&(a34R+j1D*=s<56S=`3jA7egm&E?MFSPBc5s`zscbl{^~z{MYT1f_NW-k`6JA11c-sH$GwG39rFJ=9 zEIn`Ky@eX=n0l6b*p7H2O-`quJIBIrm@-ep0KqtWD9Z-%;mM33W)G&~JqMOYFd7<*V8PI)n7bk(^ zfGkwC0a2)G15!fz0eOkm;ee|ju(_!?2Q&1nFc{Cy3NzwD57Lvfx{$*GPkz9b)2Ypj zkg3g#)gXL?oiM(r1jkOY;LJ{%C&KtvSpU1%MeY|mTOmtXDo(wX(&=mResbxw_*K5L zS%^m~n;XV0dXxG?7wJw_@(O`+d5SCG2Q!uee&kNMg_S60y1`3(+L4T5Q(E(&jX4;& zQZ;**TCxwMxXc+4GAVU?Ry(`BXIZSj=zx`qOkHLZi-#*L=Fc=9Zy5K~0 z!>K%f_3hVW{vZEXzBGQevWB@}k0QXZN2V`DiHPSQ!Ks1|g{Kn4R0WKAOSyt7U^(UQ z;+Duyl(;3$CLUbZFc(uzIkd=yR=)-w%C8YmWKO`QBv(!0n2r4aC9~PrBDD!QsN8Y~ z3;C$-xRIioa7Q|1f&!6xd$l870152qeT8t)5PrKr$wOU8%3@}H)8bS(rO8&#FGDKGn*6=iv$Ic8A#C=kT-}f_bu+>c z-m&}-N)t4>BLoc-baMo49}j6zU#v*f+uKdPb73%X&XmERv?~Ua=xDx-fr3HqP|^*d zlgsp;u1As>j!NrP31tUUIcCafSjt36apBkw+-b2EC5@F-(tV_$65W^WZTqQIN_r`z zQPDkAvB=PZQaqKB>NJrJ4FIU5G`hYD_^@O87@siS;C|KzdQA2x9rjn47#Yr{fm^M{|`iBN4x8d^^v~b5*Q`RV>?W zB*LQ<+gW}v_@%OEkEo#A%#58qJC_^#E{sO4u8U8Ut)gmis7wWhbFn4X;RDP7GU9E>(d5dJ2{5Y(fL+LFDkcE# zg7D`4Tx%p=>%iaS-1zC{f2++1~ zWFs!Nb*^G$nn*M|C$f200&!eKVR$4*l_Nqz8p5Nt%ORq=2PA=YvHR_*^yFX-5CGR- z!jX(vob$mx_)I1x?Z$v+arK_9X13WOC>MhxY1@TaAZ-zKDxVU~N!m{$1CsE>&4V!8 zU}ViG`;|vj+UGy&O=EP|HVr#3Nf)pT_=ptBj)d@Muc7=C#}PDeA_(8$$U zLK+QDXh@m79)qXNQ0XIOXnS3K^@v!Zd7V8eVeX3jeR~ z`E=K@!SM3bh5QSPdvhw*f9wMcQJo%QVAAYqCSzFIy4lN?91>O|lDU3wp4R6-#lKXR zqOMf;f4J0zxspvIOYUMnUfpWcg)m!1U98#T?7)76;Bq=%N8n9zriW;;)4(q2Aw(}Y zJ&z8(IKX~xRTyGL^ZH%=d2Ofg_hzRMU35>}>s=KI!pdka4qDZG)glRu#p}vgQ#pAu z%0*sd+|D%Wwn1@%CnKeQKIom2g->%SA3KAO6XDytm{pCi+N% zH+gn*ew68>@q+S0JRyykhRAJvLU8(aO`-=c#?9l|7Qqv8w^mcjC;i4Dp3*pre))!v z8gKxL&KtIe&OS&9rlD+)VjR==r9AlKVmS%z&-?{1*DEJm@F+ROyc>Lnc`s6OjZzHV z4JoUHr9#h&uDWHiC$w2Fuwt z70$Um(K^?s703G|?1hjP;~1b$mQBgoDZ5q=k7Cn_owI-mMYmzTlp>s&N>zC7w$&AP zz;!Gidhl_>TNR%xAHT}9bk1?(EQwfVTU8#>II3#o=v1Nx+=a|a4LEOQK)-U&jLVso zQKw{_;d>%NcR+&{(DMLwRS9fCSmS7^jx5WVB_6}f#})ny%7I8#*cFBpM3W?Dm8+q# zb0~xL2_aOz!>-*HB)dzOsuEfbC%{`N3sG;S%!C5V5tFwk7E3Y~N-`2B zB~cW42`7PMC#_0_AEgz`&5F+3iq7fiyc2X@8>C}+#dIFRE2i^c1C`__D{=YZ2@*)Q zdCdCjjCjU~?F~na%@tJ#dULAoCX`8nW|Y-=S173jcUpL9Y40%e69AXlZIxlk_7M<9 zlL)+PATwAQd?pyX@oOxvZOJXR)5B2gvB&OTBewW5D)T{W{x5n|8%XMxFs`U z0C)|2Gf{NXm=9+%AcvYi5hzy-0mWy5Z9eMFzF5{7uA$^p3v#wx`I;Gt2^Pl}7h~mO z3l?7Xw%CLnQ$OPplDL*9fbF4q0^>|McbKT|yr2RXPe9q3=Zf?x{R+s{rzG~W)V<*8 zq))&K&Q!Rkxq7lgN&H=MuH+;v5QdurEpRUudch_hD{MjqM{J%mxpS$IU8^sIUvX?m zK5;{2v;43QXrWG;mAqh9f#?poO4zbPSe%GocTmQ-*xo6M*%jW^mt?RDEJ9K^t4~5j z5sp7-xD)N=i?cjC1OC!-dmenTNGe3Dtcc8mBXlI6Vp(WXI?s+L7F3~7W=N$ARV2>m zAU2;b0#NCqHX*HTsttb_K`S(^3w>AK8_M_^ogMYTli~^I9GlaZ@)3hPg4{2V#kO^k zGCLiUhje^lDQhXKI0L)jFRd{{7t?6gg>r!5T*j5KRK3b;#_1Y6@Wh&RmIAotdcOvifY$ zlicwm)OE>t(ata(Ow%*$$@GjK2RZxWPy98T*X%n%g&tPmFomr-lvc^pem9NBf*8|y z3^|*3$&w=yceX2}b)z2Gj$6XX5RdF3hTNcRN2 z&01tiAehwl7MRqc0EuthWT6TWQl=JYTg$z*zXjrMguE@@q)>d$p4@`n~W&zM@s4}w!m70~QMPI4q` z!F;lrF*&*I&5RSsRC!V?@M0L69ZbW}>|mfo&X?$>Fj0pm4#K1o*X z6eHkF>ylv*Y@>Mf_5o}77>JO0X+gA~DenK=PN2dYRwNo$tt6!UIX{i16H`?PgU14SD?rAS9BI}vJ>Xhs1e*ub zf}Wcyer9;&ep5RHhV$HxcO?l#|Hd77tzt9zz%1+y%5ms$`+lEw5auqgC>}hMmOPrC zxeCqYST=<}tyyftlBOZ5c}9>6iix>UJi*gpwK)%EGi<=84Z*65f5Odl_B7)dkUdTK zzCw9oDmp*OC4RIK@mVqoHL*Z>SAqq;`Q+l>^92F768Fw27JN~oP?81(jWTO%OOnDa zRwYT{T+C-(vWF$3%e6q4iGmI|9R?a^Lx?e8VR1=1ys=Hu3Wj~YbleZEYkB?{cRz+W=Gq3Kt1vN zg&_^x7%ZfkUVTb(?@o&F6OAW2&G)-Q0`rzRxm)X;pGqb~8kxz#(*iHsVq|>uF|rj` zyjYBk_72!q?N?fs7L#VW4@`~C*Fbu?I>j496C#RMdtJ~6XV#~gAb;vK6W@JX%2^U> zbY4(K2MDF9c9haORM#;uFlVY#idhlpp@GTpZL<9|nnC5S1~^;gD*zY_0$4)5^2=h3 z@~4b)fMG3kM+a8#*UZiu!dIdJZ}~L7bJXnTG<@ni1sQW=@BmxGGTHi?3L-0`FRvws zQWPS@zrAz$Idd=y5LBQH*MNL zDjg~Jj@pr87k^Hwq_0h4V{XL-MQ?5d zgeonrh9fE#^x~5osTHz4%V&nXPsAuBgAC*&Fdl8REY`_*EZXvz;OTTBTgVPOolff& zY8k~A%JODs+RufGZ9Et@YBq8_yRwW90_Yj;f(7-8g7j(xVc4r3aP&c6t(S!ygc^}J zmS+&wP(twVQ!Q1Th9+rs1b`>*l5M4#3mRwc!Y6P8_{lZb-#6kbHLjCidCuqHffTXm z@Da#tGt$%&``txQD!hs{Cbzp~&;%z|iq=3H6Kz&`T=HWQU=Ue&!to={X@#|P&6lA= zBTjUGVd-NqT2i7{uqR}GV?{oC8!1U($BC?K6R$FhQQlQ%$ChCfieLsNX>!7#vPje^ z7*s?Xc1xlgu*T^z!%!rm={WdWE1pfO?o*t@?S(vT=t6SkXayvZMA*c{$T?)&M1}|P zmj>vlb)1u>cSgJBaA!2kGTO{~#2g+{CC_Ow@@%n1Nb?~d(+VUnMt^}tDMoXFG8$@j z{zU5zEo6%ktHUee_35k1&Z|*ZEKARgkh53Kz{C){m4=H|BBkM+JCrCseY_a|ZI?NF zM~VXAIk=+SXK#AnTt1$3LFJA`aL>cRg51n86+$Q%OU#RoCgV>Rbu{q=wMs`*>~~b%O6*D=VF$z2bdS6R-5%*| zmPg7+_sFvx`bdA%p00@V*;7*g8jy$cfG9fl^oCODt2(AXnFNi9c#hHh!zI5%HdwMuqF1JlS}-) z+-as^7W8ITOog<|4dan40BpY}7b?Ft5JiHKi|P2ntTfBrNdq+#)& zVb?BK5d+`8UCT$rOO?~<(^~~On(35N>Sc4ixB2&AG<=CvHh*!S5rVnSfD3UBbDdr@ zAAlOT{6&GzB|=ODS`dKk=|4Hnw;;|lj_~Y_h1xO;r_*2pQnR}V7Qh-P+H~uMuJM_qQ#5-EiWjZ(&8N;(+>Fpmv+cktPRA#5DGSu z*6`q)X293w8I-f9JOZNIN#06^q4R#hS0bPCEcDDDW4|Uu$&5w^=qKV>>439+1I155 z@f~9Zlwf2BN+`pD6lhNYQ*t)TqC0%#)?tE1CVA`j$OQFxrs)fr@LaYDAsi3#XY4LH zhFfuZHVWUgOINTI>hCQzb}Hk+!XHSlim9_%_q&`YNuO@#>0dP z;qnNcuR7z+2`no@Gsr>WV`BYYa}WYg)HTfNxM)Yo>To@b6o?Hgwjd6k>Sh*OP`{?; zEz_TV`1NmjM&~@~sg|`I^`hRkvJYOCpk*J>+g4YV^)~J)#Z`W4{eT)q?M`t?VTY2jEq>!FW4V5!4*rcufqVkYn6R!6#()%pyj+6OA^Oys;XZqx z8ps!F@zY_f$rj1VDMk$}Ws18i#dr`gC2~>~AK5{@U4Hwa3M5MX5^hX#3og+cEZFjm z@;;_(UHw?8#o$3H#yN%iR(Iv89K%h<7E0la_BH+SCfY}JODl=wTeQUp*vbV#k{^Q~ zBV4iMbNfQ}d8=TM8SScD_ZGuQF8zQR6=f2IxVxMUnGU<0O)j~G30_R0oY2}6e>iny zC&9c8Cb#j39EO(kVjtoL%&JO2Y8t#1rbPpLN?;QuU|+?n2RAXu!OiT!;8MP4nktv? zmBE!a_Xf{0Pm$~|mYm(bL*&`MW2a?oANJuDFILBgO0g1eM?3%ylOqMQPxGCq(ZSBM zP$~AF;6JT_>9h(9N1n zQcOrA2@-$ynqVH^A@vBfz`mc2rYcc^=ey;n3bqtCA<9&XDwFGC zci2JRiLuJ^(|iU(Z|hZv%fXNzli6_S*h2T~egCCBZy=ZN+778yL+;MVv_kH#lhrou z@cU{}o|hdxUrnJ5^mo+a?-Mx$#E$m*$K-FNv7LxSCE~ z@?d@}e^jiUbVw|1c4T~uE!mpuB4V^t$+^%LIQMljK1Pzo!qSGuVCAMU>@cRISVtOP zE53m3RP(41yZ=tCr#6;bu+3TRJ9>Xwks>rpzdA79`x|kLdWR+-`qy@U#ovgW{sJM&s zK(~~5-z4DZ{`RFPg>-T-MN!q9BN>5;o0UJhLHT8WRZWC&V64>12aJZLgz z<#b*%?N0YtQD;>Dj!|b8y!{+~ni&Mn3v|);kxE(TTT=$QXW2Gm*PkiKTGWtBNo;LS z%E-K&^pF@^VKV0Vb(HAlEe__&yG3AFyh23J`HkIymm@BU&nTpVm6%b3pWK+d6_(hH zQNk6B%HjuTMj#$A*>12L0aJajkF%&rFwDw&J77C3^E85Gb~sNd-gRdg-m|K#vE)j9 zVZKS|Tns?OrM@=Af*oLyNoz{7ng;Awv|+m~5=xu4>anCp2t?oKe$55NOp~Rcx}!oT zd`6tIY+^e#w7($m)KScY+G%3RK-aSw-U**rsLWMOh0$0LJ{Ol`VBLVPqDl3MZW73R z$%psx@dc^Z4fr*Kaziw#EE|w?vxdb@AT$d0#j$yxlS52hs1`2+S4hQ>o|#CgB1oa` z1j^7Mo;#6$qC*&Dt(i)~j`~T{L0KPWzroZoa;c|hUGg(bFdTHa_C(LpW6}>?6#5L6 zoUJ4)M$f{SAxh!XEg3tdw8~3axHAX2qY|D&i_?;K$oOL;=hBK{(S6$roWac4pzc{J z4AYLpD{GL+*}R*U!S^(X(}%^U4Oy0e4KD;&-7KMkko_8p%NGUD zIMB$Oy!5B{?Z|V3(5T-|JdUMVvpVTHCApQ*M zOuh8I5|*ssa}mMxcvoz}dW4}Xf1v$Uk_C%#b#SY#DGC^BYp`IF;DI#?M zFMeccW`k>KrD^_6bD?jzX`Rs8j5M5SM7}N?_bRnuN}l}fMZO(Gsu}HJJz*$G#tWk`*dG<`a@CF~is@2|N8oAeW7 zNyvWB1tp3c%6DaHGin;ymBRfhD?ffZpgma8HJAp#Kr*Cc8X~$E*q}R}2&qeH$13st zjs0ll9k}9eBa3n6qD$hXPcxoQUM}2B*|#6FyCSGN1pfIELJhL2v7PC%O`79!{1iw*yy5FW~1zk7EA6S{0Xv0@A3`xb&oll z^SQZ}*BIw7gXT1_NrRS0=THmUWYb^I0eV)j+N;#Wndh(4))TDj@> z#8+p+%;)?o%U=fDIml^0BAC4GGTj@|AxsmDMHnhjJgIWI3xAD;vZ64yvY`e6*|OPO z7Elomk6?BZW}@_`SEJbLqw5-TlpknfX9*OZ~%;MY;eP~v)t2d zeGe2dsK>YoM=2Wo#-dMHb~8PlTd63*c4qbA;vcCGT+n-rG@4&Nfs)v+QqzV#W%56r zoB6O$B>7=(Dd$&0rY?|zY#6oos}979@t4unzma;8eFqSgrwXp&lCpR{K;jIfn&niz zl8iB=gn#Wr8X=Z~!m7)zHmHN5J=sn0hfz$ad!TKWekfl`Kx{077V#hbB~Z-PVx-yH zJf?E~rbLi~x9C3O7+NpCJ6e=D3f19|oK*oAq$|(bQ;U~isfU>sj%n5AP}IT4C+ik( z77(*yk7Y^wHrOw>=fU@pc4NvF2$Y$Elsd)Qki95&_n_v@OeA2w9rQU1*L~;xT@;gr zjc3Y{pOY10Y0X3zzRUYn8T^ar7DIUc7q2b@3rOy^rIc^hXH9|Sn!yB)z+O$t&&9;$ z$BI|d=8`(ckX2HCr8k zk5+@JG)1TDHbH)Qe|>kful4*R2=~33?W&)UqB&NS11eUk92IMUNH%jg(!r`#v^GzT z)}3n^2JMC@Kk}TZ%T+NtPuj`aJKPm)y&Rk7!k#Y@gx_9~g?m0w*#o@W1Kv*rfBFtP zCwCF|NQixX3mm5IDH!XJ+mu~hift}knlnCPSKqW{jMeZ{)BLRd@WH0(1r8QG>@efd zE&SqO@~FQ+>&K@sKlfgV--9O8bc3vS9fP{RZh%03a1K=IF~`DxoMGUyG~DN4|D&=T z47c`IHf9Z6JBK5|-0mfPqKR*m_eY$?m>3fp#G9qtX08$xl^;Qr;p7l08HI)FRUsew zGcgkK0i-)id7W)qr%Q8;u)#38ODU1hlD_#&I5SL4ZFKo5u22O5oCJPFUp($V)dXDU zaPC~c1y`x}yzB<`yqZYRUsL_pJTm!=ykMlX=3Uzl)TFZu6x|=TLi`Qq62I#a(jxfMllTa^W@Pb~LR#kOACT1a9I6GCK)t;-)~evp<6_HQkjt_Rnio zj5LATi$Ve7_dLwZh9!h}IM}Ljx<3%$tC~HT4TS7}e6yMh$-U%mWcCrX!ukC9|1zR8 z+=Yxp`}2qv7sM3o{QS5&B6fD0Lt=z*Ws}u*imr*QB*l43K7XY3ggMXyt-eBRZI=ce09rUW*bkzybXv)*;P2Ib^F-;=i3b{)$K|y!77-Za z(u*3YCy=<&ASwnX&YZ)jb}81wI%(9fPLI{U{rE&~{DAZ;T3(Ktq#tsA>Wz6syR$AJG$b}oCALjpY%Hm6iJV_hV+PLgYnS7UH_6wz_hA;OyPkd%RaFrB+HU0{Do2~ zugMh3v;~cNri1aGF3k5$>36AJ9qVkXKHtw!Ir#}YLwL%aU`To@{_C0oZfk5K)IMgC zEYjY6{9WAo146EY@Lzgs4Js3yuHHRx0y5s^JVx9`9;rXZNOl>1P-Dz{O+5CiXN-ae z6fi9h;`^XCRs>_G#AXoVs=5ABR>-6M(JSdpKBkw8&vCD6N@{V>)()!FbhI5WQx~0q z$ucGUC5gJ_+@GXFd#P~XcCVw-c%;xVExe@lx z_cR!sv}pRIfX4YJ^M5OdZ4UhBp(A?y|1OAgE_TabPl-RT)`>azoE-e%k^z8hd(yt1 zu5z`J#6wRp^We5!V}EJ_p+Mt&%qu)4b+@8*JKkFN-ky@&GUIcwoq}x{@;BepmAzh9)lTmtILL0%s$YYgo=n4mfm1`{`a6)F^KN$T<7l9<@LEp^7)jx;J5lZEWggj$+K~pkhhhQx}ox^ z$f>X2Kr8RqFNr{%qG8KTX6iwPsA398HDE;q=N!YCNu9+OTv@0&L1Cs+6y$emtX_5+ z959-`yy=tY&>Vui!RW@3v1(E3Jx%P^N?!o6FJFfCwDJWy+M)cD%sJW`5TdX82>7dBB2s@wTV`>V3;}=X#>1dgl%^DzQ5|X>Bx*CDi}OF z9#41xsHr9?Hr;a%49Qj9#eNd6N5&JHo4ANw0V?-4kAiwccNdC{b?D>cFq4fqlZv#o z4p?)Kw#b?^xGX$CoGO4@-;t^HopH{O5S&dno+44!-o`1z4fz50jCc$Ircv&G^&Sp= z_uIh|W=G3HNy0w@E`A1l0{CSUtqIh*BfhEc8g1I7W>TiZ3JLBxKT#d84V_00vizEj zu&FKVviEx$dN<;e>d&X*ceskjs;OJ+Hm%3GvQ~axIQRH=?F5e9(QBEpIp_dY*_|C! zLS0`6)kEb~rCZu@g;=sSp8|IkfdW*D-rrylG__X1f0l;k-*p2FBN&`o`Fotozq z{<%bixXKhNps}56ga0ynIDT_k0G?a!rBO0R{2qHmnpJX6GG^K#TclK0{SNgI(FYbD zqJCBqV>*|oU==0Zwb z<}bZJX2D{sk=GcOF)AL>bA3O^#IR*4$=rDH$V8vb6D&`30$--8_`atpLml=Yyos>G zn|bZB(kjRHpUE;DRNXaIoBFOmEoKh90S6}aqT<|en9yl(dYpR-xGnlt=bFR=nG1qS zV}$#oO67m;Hn>eUS5k7Js0nD8qce2NQlmE_o2D@O(t9g6I$NMo@M?c;LtWezuV4RW z*W83e>n?vvHFpu-(rt$GS47OFp&s5@pYfr@6fbE7iL_#Apepp@@c^*yp+2A>=13b2 zZ#mNMKbW{X7>xsK{<9qI!N|$RHQ_z9yvAz`-*Nhm2BEI%63#>wI`H!&mxih|bRiuv_}MGsuo zosY@=1MZIP0~7xgE}l90`b970(i@<(e9c1m-ONj`Zxw$xS^GSN7jjY1%TptN!?8#r z3qOPcnT^#1y&gRQv;CB(y#-%+u99ZZcU_b9nD*D%P%*FWpm4Sm_a`j2rjSCPerl(f z`Sb{Ageh1TmM;UGUFwEGnRipA2O7BIpX&(PQ%T}=#6SzdP;NwxKg}^XX$Q6isu@BY z2k-8$Dehuzye#wt)xq#z-{8_9;RNybLqbWLWXZq^f0LI86oy`wfPxaG9;+5FFG&yyK|gTm#MUt6-)_3vfB1!x*4ubhx2h*ga$JiaIj$OONn6|h@>39{Z` zppz%$;~>~BYWHLhA$J!skyDYY$V9UG4hD4_&7aruYR%6gy1&v6_IW}u{gTjXKeOi% z)0jol_jo}1&cj1RCp{Wya=j<*%X{QA0V{jPJ%J*>)coo3zowcmab>p1BX|9FZ{ad;B8oJV|=2?wSpZsvTd@8r5LbYhe&qaX5 zQVk{ikaK1P0Spb-{8T@W%-_(GFawGgD3Zf{K^#(EOk%AmL5g@Xi2~}%YR;19i7`K( z{ZWV4aoiUnDJjQ~z9YO0G@85it&9Gb$%G`OcEZDciPh@C_)H(u5Y?ChTNlz~pWUH~ z?&R6$PC~SB672XpQ)MML?YUvP8`z>^b^BDh6kIns%{meG9gkn`#&Fc&$ZY9~Ldhg7 zJ@C`Z%Hxu@6!=L~7Z|a!cF<{8DwCdrbomXyi=9%H&5I39r>3-RyMD_E=L8csD10PV zmJBv|zuBWiDY%Dg1u@veNCmIJEfr*KA=ICA(KvZZO67jIl>2A39&&^-v zO)&)io$>_PltPA}82sL)mtCU4=RH=e0Ir6o)+aTz62?$Zh$md1$WMovt)4W_8=AeT;NB?}YOlt_%9y+Y=^<*}}ZV&wX zWdxsuTORcF#!l*`mrH{|P*SS9bTcvLm>|WbXlru=Nq98P!oo2+94Jvt_JsjDoRcxS zJg1ahI?J6?IaP4jAQRcJH8IuJ-CzSHgtFU5G1f1LC@bJn5KJvEW|d+%bRg^KeB%$fhKI(3w;wICOn@^%p=?Z1!UN3Y;0+0&S=b9EHa|9zb~C31#nG!~!kJB3CJ?9Zp{F$n&7UG(1Co0?M#zyaD` zXx_}+tZL>G?j=p!%+_9(@E&8gbHM~1Op;$+JE}QCgg0_wT6+jo&s8?Doc15^-w`%@cH*U6s=r#&um=!!#%QrX7zOBKB zB0UvBX?9d7Ff%MnsWIct@AF0mV5ihf$T5ul$97_kp^tCLlJ%4(L6>`m^t8!l?<;Qi z|BoB&o|eH-|7V-_AKRt>Z<~A%pA4^F<2nAJlkDDno@Q*= zPb_fSOno$ua^BGGzBAF01ux0{&`^4$@>jB{e+-8*9vjSaJ1YxMu~%saXnE`NcW#WD@? zPh@|A+e)W3eb0(b@qghFYxXoJy`djS&AX%Te*YI$d{Cf!P`qx&S5GR>R=Ls)CsSC| zMhq;UksyGXi!4R<(`@Ja9nmMfyAF`TWpIOW90~?*!EA~Z&&SjqaVww)y zC;hSaSRt@v7Yxm0CFYs@7|6>(5}RZr90j+e8q%$)e>@S80XR2nAK`Js@L3x$G}#HH zyE1!lboq}zWQ1n_QxE!WDZ>IvS7s39G#fE|#L2;Fg&_y&-6nBQ!-UsFBc={$oKJVI z)Lhk=L88fti}j<;fys#BSt`%U4&c-!_ORG9SX79;7*nBTPCXP4*`JF=;)at@T3LM~ zu*3~Z-a6ioH95NB-%CN9gJ=eKi7m1e@W-dcH}B0{03So*jNwPOJn|T|5NDWehXdH1 z?O*VQ)zZu)5bH&3=G}32I z5f$>U!@H`eakD^|GN^GY$^RT==;R5?wZNVnTMY>{n~}&1#Tqk~or~C{RW693j6be6 zKV}M!#&^T!mno8L!k1t@gwdz|mRZ7&(HD)FX;HsKS8kkMyC$)e+u_5sV<4pZI*&wQ zOG3cY-F`*7StRJ>mTW2wk`mKw=q9L!Z!JKFV9$6jgIa*qK!FrYi?M^u#y=Er zt<5XtCF1GE0XE(zRU@GIkXtW1TXG?o`=5C>8$jM%?+Dh*o&m2gbr zu9C&IqGAiVpJ&{rN#&~IX&M=?O`gA6ovk&dzmFCzmls)#$GoTcN zhDI4TK4jj^odzyJ_hzV@*o^8%9Hc?DcA5o@HN>$Xrs2fU$OPr%%qpvymamWpsoFR@ z1$Vj{V5(VFpOV`W6NlmpWhdR_Kx#dv`s!Ggzp)?}sT4>vzOzs3M*;sh3FZXQ2bpcP z`hD;5y02R70#X9#$-0uwq94qd${{1BAEoJs#J3}EGvH%rem!?ziEkBd2V0ddJ&_+7Qu-E+f&{ZMxRe_^ z>ZsjWs{JeZk)T>KwG;LGDAe;hc#+J-d2%4X?O(!ZhCk=l2@nKn)0N)akv;T)&{ZCK zfHHyz$=y5oOA}b=NJTy!CLm3X6l+NlRWKjREX=}9Q{u0ADvQ3h)SW%J zD5ln`IN1w}VaIrh5Z>&>G1@{0H{b|?Vw&Py#HSP!w(7nh(nywEQu&h`pp9T1){{#- zi;bJs5pkISDvd?ENTDY87Ay|!_$2_~j>a=bCBUBDg6%ykyiAHTqMt#pd$!_hur|MG;O77+!#g?AH{0|=5ESmU zL<-5o#7R2YNg!iK5@206L<-Sa7w}sko$D5oPxjZ{c>&$2P7foAXsrpg?js|StjHD5b2r60W%{txCmooTI-V=LvHSb?j!RHV&pApK_lvnt`Q)%z$ck71m{+hWkmfSWX)=po~ST(hG$uXDaiF&vrK7sFNG4fNM)LJ251FFi zBPS<`2rG{M&^*FfcU($?quj_k#SG(pVw~BeW3YDqWM7A-GIQm?fd-e#+^OSAz& zQ%BMf$BUfO^c^3lkKSk^=2}&wB3Pnym=Rbv&@BexA{qzN!9qFxi$U!co|`1{9Cj6D z|J3+CrX+E?o`lh&+400uV$Q*Zb9BDFVzlLuM=L;C4mWxT@6Cs=?RWZ=?XkDykCr8u z`aiuDppdew2UyrYy)~fsva8^2hK(O;cM>*fH*BQ7z7k9cC<$44<)3L<&BFs?(@1sV z7AC(|%rFEu2$p2_$s~VZsh}A9aRch%N%+l1yD4uhFyXc#o-# zeqg|I;w<{myZ~E#y`mV{2&P`FCI6;lF*9#O##7e+*w^&2DQ)7#Tcl0QxyT}L96eij zOV4K_k$oumF8fpJjtn_hQcR{bcI9`7?uOxPIi*L$fGO;x2a~|^BRO9oY$#zw0@+$` zi@BFZFhL?eg6kt`^cJnyA2NSt0HpjxD)!XB2-2Q?NoxGbvThjn>Yy^=Ib^3;u_sxa z;_`;l;U?;oY$lVV-;ob=h2a)A(9CKGB<)!lV8(2chQb7d@<nYBvR z&FOR?B%CrxMUG{hr#F5ctQB*)3Glhn~Ob#PYi2B8`Y5Tw#rzKa+kFiGL)uHz`Q`HSW@G z)oQ=y^D?`fqVUcH?A?Ng)DykZ@G%jqba6xe3IUkif)A0h1-{bpS^knI96jNP>#?bA z)|FbHX){_C4J`0q8DX(YtM}p+6zFYs#!uVIHPUii0#BD!%ufs zMu518P3P^PgUS?+Tj=RZ>4PlE~{b5h16I>(tVoKn=d;U?%J6m`+1v#fnale=UqZEIb z8_3!m-1II1xHbWU7cUnhr$^fm`k1ErqT|Og$khE~0L>Bg=GtCyG7!W^NJeIy4Ws?~ z`T35rcDQ|t(MAqCxvTmqAN)1CrwoPbnBA=QQ#a8N-1xd;ag4bB^x^;L+iU;D*C{x~ z_*B&W&~!Vv!W@?$Zb0;p`i0j<2Xt-MXR#7(v^-M<;KQ5fz@KGp8^8{Ty(`S#*Q#z; zGHbeN+BInUMFA!dc+bJgiD`k=iDE>!_4L5T-&#I*cF}bXRmPL|*U?)yK#j;|@q#kY z?mtIxtleBezZ{1lqu!yIz@-MwyWi1F_*>B~xWv11T)klsyQ&{GfKzzMO*pQ6bvHZG zR2H8efP4;o;<|wLY|cP*!(yPrBiiN`20r~QXY`h%VxZxRhNL$3jjH6tPa0sc%|wmC z2%UHN?{vEtB1vtG2k7;91PnvAjq`MjfAa}6zzUJtUr+uc6VoP==Zz$i+&zul{-h1?IqHGe=#@H<$Hg*ZA;%Z71%%}bUVb}9|dge`KQB9=&%uAiA{9= zQ{&>l{h;qSHxeGt&WwtpuC2y=&s3#a=q?A9PqL-|`BJPIXE2NNipW@HR2!K=rhj2W zN4I;|jux_oPT3HyEl|5NRC_(6#GIpaDXaUCo$Y2cH6IfkzBPbx*d6Vu1>H`vmA!gN z5?iG=n)r#%zSgRcv>l#&(!4ZCS}$c$>o6lw{^@=R0ldPSWPS+ByLracHfcCPLt^>`BWi|EW zY65)p`mFEnz$Ld^V5i!*HSWTGNF%t}vP*x4JC2#B<9BM$E;Dis1D+*jFKXS46)~RF zC5g>jV&pIHG^71T8TPy{n?rJRhX-y9jYh1}oy+~F{3os`CyIl=KRoHN_*q6xJ5Dei zIBrx+Sp8?svN5I)Y2d$D3g#)rvxxqCdI0Ar-;e83Q%c7l!6dLAVx+e9_b`@8;)- zU&BNWx-#_$W=<^604;=5g$TK{!GSI@^K@g`pG16JqLgg+B+an!|0O-XG@xx}QzKr~ zOM((LJg-kLF$fd2W87U>`DnCx4D{z`z0!1BB)(xcZNehj_2LsiHKMdzm3kjji>w^)o5;O6arv(@tZRiKa7tihT;2p3E{VX| z3R>Q>f|k8N0^Mxs)St|%5P3HXCQXL8_g`tb`qNVc+JK3*wTV<+Bo}46+2<*cF)y(O zz|M93*dzlekScVzypJS{MxMnRSPOV0+F8yiSPTDnhS`IuKRR_Isi0kh4tBW9V4 zG=Io%P3!wu2&o1RO#z6dsULGLA4!#lAzD)v!_e|-oW+4zKjN3hX^bbUXKjht(HF!g zto}3#q!%F}k38A7sKF341JP>#oxv98!~og<=zOIb*+hh9^)urR*WoR~yxX0Gz5KqW|H z!&X|SgXM?vO{yOMAYX=fTQrhpU0r~J{J|shp_AS+piHr&(zG($p;r2L)=pDoK%~;& zqOxzRBqLJtmY8JMW{;8a({<6QQ33NwHc~ZN*&_H>@a5P^7}zD%tE-j_4#~Q;(KHbg z&9b7w;@o+d9XKm*q!SlQ?yG)FL7-rI*Q-Y|&cl|LGdqv)C*d`wPE$-1#IJ>;l0E%D zk5fmX`E-j3pvd?wyy*atn&=IVV}b&OxH^(-he(mN^Y5xDQ6otnB>;5|@h(dgOZ8(>xpTqM{pk>pq{LqL)EYY$5IcutTlyK$ z(CvfUM1hcXwjVZz4;@*9C z$+#0$)sx@l;#P95RJ)WL!EHV6F()akvn3A7ZV-xteujTE;x9u+y6tGQR~PPqV`4Ay zY-JUuj(xtgn+v;6IYk8h3z#%hXoJTy?ZFW_YrBf+rnkE{y^E-G9LXQ&D!>suSk)8Y z*}M-_p@s7Dp#mPw2k}edw?^^C?iaeWhFFOEyWq(Mej;J}>;TPHwQJG-+N?dgs5w-j1AkR z&aC{CyQm}H>GoT;qQ0g*EaC2+7~_KdC!CPdsp#BCdD83IHdti7Gx~A}Dq%JJPVDb$ zGUxq>vZpGSwL#XY>&2w07hQE<0Sci6P$ZeJqfP+UtTS{^P|I3~MEOsEhp?14rlJmwXcvxaJ4>?!c(J-a$s1ev#Cnb8J&@bjJ(a9i(!25 zs$c;^xiYt8i#9q9k)Za@Od?F@HyVl#(Kx0A>(4{mg*fF~WbSkD^fh@@LZb>9w66jZ z9`RZEFqagOZ{VATvoX&uu2ueF0PLHhjVECNfM5)dhxjg{Q9fswc&5IDG}mzi6K{-I ze4cG+(^xnUq)D$Y!}JVssH;#qO#%iD6n7ZRVSi-)EmSd!3~gY!xf(p(sDCd9GE%ae z(~+c`BpF?=>h9(!ORqNPoEdyIkK-}0|2z0mXYUAlj7zh!8CYgc;bjcyAC-tE0g{KE zP~v7yCl}xrEerSG;Cjf$Q}vB=<|tThzMH>LV$|j^Dyh{GX4ca{0^2fWhS4fPAfK5Eog%R1ul|4hS&u`1 zMKrtdet^9VI(xa-^mxqy(?osn@gaJ=eyZF3o>Fqc>^L10`fCIfP5f-Gl>$-U{6p%UBF1NT;?Wl5bak4qvQ zN5z9xcN23_W-W$1ruE8|$-En83Lkb;vtw>4ZQ*Qsg4UQKqN9p}}c88*dVR zb*^O_b2jhmiumCyfm}*)T(+U^^)H9cjnB_iLc5Km2anCk+fkL9-v!g>K9;;{fOAJI?!OMST7G;vc)W3th~NNEJ;%=t zb*G3|8b=5Tg(X&TlP6UuFL~Keft{zM)m_H!zmxI)l6Dmclns~Er%EaeaI`s=U@3jx zuvgqUjh>!lXk&V-j-DPRAAS~jqyrLC??JJcn96C+u`@AHEbG2&W8`J8=TbfsNwyvI z>qzgRN+M%$S-)aMH07nlOgat=mV+)1NBJly&)>vEM*8uim41T7X6XG3ekYgAID|0m z*ah3x{u*5qdw4xp0A5{9&MqdKqc6rnob9*jK}!sI&Iio2eEb<8C$kGP$&7u7@O6Pp zT40w>gBIowbvHexVJF1bIzY9Py*5)pB&(0n>|$8IG4xA4AV*Hah^G6oB1&tj@@(nu zQ`PMU>5u8wu3AtRLlSPo&>^-ouJ%ypXS7NDI*OxEz(YRUZta9u}sG?WwLBC{49f&Zr0Bckg@izlE%1dBmRHLCc}ZQ}#}l*!+T$HmD9ALa12 zI2FweMbklq&}_yw+f^Vye~)MDnWAL*{_OtQpNNk8-y4cN{RzJDrg^=us5K7tI`_HmSn)Uiq)09rd%D!<2Mh6ny+A>fJH+lb0{X27t{zoACUwtj%ahq;s%t zBp((dbFM-WE9H61nKiH6@=-RlA z_gG^!i}2#@igO$znHR}%w^DcNzFA4H0BFdjRGFZVFjpNK%iHD*!<^S0vC(vaQ-no0%tQFi?dU`E)C%HS zA&vs2R|NDxV|VSBhIzNGgHVeKA#03V6Sl0?(EIcJ4lTG zv$gahuT#KR;pl0KYf*l$p6Ts32H0!s$&4S^8F3Am(}uyp98O)ATE_<0@IqeTZd8s# zbT7Bm`Bd5WyHln*L98i;{-Gzxq2B%7#<|JlC;4n$h(K#g1~3P9$c;&zx-K$q_N4{F z1k?3Nsfv)?dgwr@wbOlVKkJ_u1OJf!)D8^!&28;I$*<|hsy@VJ33ycl9e)%GBs{xX zfB&P6oZptqqgziPoFMs(*T+7t9aZqE(qnlQd!xB`FCc!~+NuB0+t0WW4KKG%03sm9-XHq#pq_8W zfs@C?X&#a4?iBhRJ&}2G;Z8i592fZmEdO_hZLf@z>Ki2*1z7OeeP*tIU4y{<%+DR} zg#)kGZzFN|1bDe5jMIqb=@p29Vp~h?!|jf!FD^Ibqn^4<#LFSQ>ALM*VBc*%cT!o+ zMv%V;t+j@Gs>2MQdSy-Z!M(Xy8wbZrrC}4~HXQ%ij~lRcE!_g_`fe-~Cb9*8X43>r z4WzP^#wyYu+NZF-d#}75uzm80PXC2iH@^u?%BpK3;99kR`z4tBLpkd^EJwvVI?u6D zg8(read!LjpZ&3rcSztMBA%N?`V^KK@Zd7~ZIe8}lVuPKvZ9-*?dP`(Dt60b*?|ew z2*Sji!DtC1dxhT#e%ObiJ6}*>76+CpY@@c3{x!sBiYA zuSKtA%;{!Xcc)P*GF0x6Oqaw9r@PJ#;>^swn1X8l)!wOZOLy>-N$OgJqP$^|_bNF) z@d3l`r$6?}r4x?$07@G(g+zVKxYq&#g^+0r@b%Q7j*h?Rbmj64`+acYW^S8rtXgMj zEv+2nxfMqT*^`giPnDqGSL%y|UogP?^WC#O9nYOBz@8Qp31w)i)(Oih@AlIYdP}}( z+{ryvo}^u?&sXnQHEo5`+w*y;WITH!Eagh>w^d0`{8UVNTe=6_tXE>EY%_JYe`$tO z?zc%ZkAYfoX5_EQU%bV!c2jX(%YFjamFDkRtTHi&mj@evXUDgvl*JOC?TKXt(a=ffON#uzixAwSU++{DfOmo4=MnKCw)ywvA@8d&O5yZc-DI}@ZE~-&{{8+ zM|fbsbvxYQc2U&Jrzy=0qsphzsJIE1WyNN3647_`#=vvbAuc$oQX(NOH>)R|MZ45##BdK58u}UO)|J1qD^@wLEqavLuD7ccD23*mq!y}3uxZM^rn<==ZRPQg7rz*#)|JG(yP&=^gX20ThmZzgEv(h{aC%$U*R79^`b~ zgsqz^y?nDve9UEtigD7s6qa2i%t}TMZgxL2;D zs7vk2of6rzdMo<*GMU9@ICWZ9t=2_@GkrOHuS_)KI!3Gx10{#l8To{))e)UP@}|1z znj>rrpm~!v%$Oq115UlomP%g{>zvpQ1=x?<3A`hGn8n~WPZ4KA-!qSYBCE(lLScY` zfx&|P1@lx^qIVHnbOi&u^aKO@_SNcOV&lm4%dw`-Ld9USwSrKfeZE~jaOVOX0t)#e zedpo=jiep=apwZ9#o5!d4J?kkD5(DS!qxHI?{uT;a^x6K@G#M8k~96zp!v6hAZN!@ z2?b3Nu`9aALoYdZJy^lfiAZY%^Xi{|@stQ%_*ZtYIAB;eoA6qGjD-Ztz zIY7q0gc;&}CBbSP;DuME3i|La|6$VyS(f~5Cv<$9Jx$elwdpcBp0#zcQ4!AY+TklY zyd~md?&0p?emI`qX5pUQ_FV0^HkH|?re|=_olpqe{M|ok+srlYc7N}FPax#7vOw%k zF6{XNG}}N=>7S)vag4EIMigRTGnz@C@Gu|q3zIN%sCLnO?NE}twBa|+4M7C3ty|I5 zR9|EjBLy55oz1d5v5}H$3%5@76lkE%(i|I~)EA9up|KL_^LT;^QciYggZKVad1N#z zwmY2^Xhb1tRm;U`w^zDs^$tV{YOxwM8arH^SYqpt{ZWW;PYJc)gK&>PpQOx3^!}WPQ`%uHx z;+9jxd3>1jfC-QDfI0aqllr?U^~%rr&NZ$pgKaM6N+Sf!Md{g9mI@bD5F>%T{n0l1 zqghb{D{Uz{Jq13HnA)7;_2mcN4clwY+JrECODrNAMH6HrD!?qhbIPpZCQUcsdE#m- z{?+`^;b6ooY3^lGt@vjr*_@T{$(CO8ZjbBUcd4hDUrKzo-F=NjTqVV4Pme6OE-(I~ zT4K2^xivH0GC{8dj}B$m9!w{3*}NW$J@rLV_eRau#s}q(Pb|cxS*gi`OP>gjtFn`; zvhfy`Mi-Pumz0?1m6#Tlm==_nN@T{fR>f-XlKp?|-xmzw0?aC;Oqxos=k);ZMIDV- z#48ZNsCGuRzw?SJ_yxv}Vo;bY$hsU~${&46>m|$`PewJEF?4IK9amHd9_XVET)$Qf zz;!m^)F)4WW+d6#zD9sUdaolIumi+DRxrJ2R`etC#gQX);XvnOdh zad$229cJArk!V<_Ta)%v>(N+n9H1qT(;ZLoMIkXp7RZzx??)9@QJ!; z=J(R!lwV)+-s_s!v|3H#9IOyu*M1Y$lFX^0Vw;Z#*bK|dUfaf8Ti7Iv=@mj&h!3U~ z-vu;FKQ{1;=2oe^)Ji}05g%Yevz7msqaSm9WSuOgRS0Q=7A?FBsFr?offnsu^C{}q zR?arw+b0+nYF6;oHqO3TlACZkJ-up3a_#9DU5#AH$LY(*agn4u43~S4mZD9{RHx3x z!8h-B_^o|v{qsXQ$*HJ|C-s6Tdpc|24+q-I8Vw}R(qW3+joJ1jxXYMrN@&b zr(TjG4y8xvcOCgTeDgQoyf@<~*CicbjD22IIevHa?y_{d^my3! z6jGa|qvo9Jog%C!Nxm4bH9BK|fM4;ALPb431{K(vcS`!$nui5Yw|6AmGWJ%ND4VS+ z&6-3*W8LtW`Hmk&plKVZXg8HXp8AeFZ%5l1rHL>m#oS`yyIGV?w70iS++n>Wy_pR| z*g{O2;^@pCIW>vRn5nhN@cfsm`Q3QsLjL`^?Rm#xb>jOe zbB`Wdcck&Z1I^UU7VIm_^%f2W4GKRo%k>aIU~({)x#S}7tCt3cY_lBBu8v$?Me-M| z1Z8i}-)ga>TK3l9?O8ebU%Pn+6^P4`^X#q8ff?_}&*;&#<<*CV)KS}{>}emx<$siL zY2?1g#2TXW9dSP6QH4H^zzoKe%yHTxQyO#y-py#Uy$S7q4TKNx_mU=DebkU;=ReV| z4UVMO^KdyWDW?xIta*8f{Y&|++p{W+?B z#G#CDw%($`&(3{Wmxd}g{&QtY2rf_;xlI>2Oc!}T7r96m`9K#L*T$2`rk$dp2}fd1 zY2~V$)buJZ0*Ou_BBs1z2>i$-n=hwYnV7uGfUy^>uOA+fp4z0He2d zEV)v&X$<;l;bbT~$;^aQ>~%unv1d1-0FzXQ;{Bu67|^;#J@J7lm2!+>_&ajFYJZ!R z4chnmg@Rh$=mNb7k>rN5MxG(*Sjj2jl-(-RM ziR`(w?`e_ck$PnGa`9|`iH*WF<+i@M3xv-OH`1hchM@$juAGO>Wr% zBI7ccs555>M?bQ@YJ0f7Cz zUVo87a1vC)i5rqkwOqfX8V^ zVQ^tVVPau@VdT9oHnXFtLb76_8Lp{G@cVxrWEAB3FTs_`l*|mnIQA_0!zRG0Fj;Tp z76STp-84s=zwW;~ba-s3jy7$fdUGh@bLJWazD=c{S(r4VcZL&9^Q# zo3R<6+)`-bV|GH$RWM+PWNr~?w{{}VrV-CjOs3DuPxkz#x=SS2G#M|Se3(>h5XDpM z@PEGcMbar9i*Beq``jlQy_>GOhC&Z|qulWgq~HGiVHSS3*ZYxw_-+^G2W9xu$WGZ! z0$hg@c}>#L86HRwp+kx4GK);-&S%;~|B?Q<438zOGqyffLPkKwO9ny4`^P_b=!dh4 zm^7hVcYg=RIM0AN&*K|~O zRd-f*=jIThz7_zvn&~H35ri!i=r_GHVqsE``o2)0>dRCQ{dx5kj%{YNimf0w)Mo+P z^ym5t0cs2h6N%MEoB@tj?N%?dW~hKGL4y3s!fBO*>gUln^c$A+`Dmsrp#;tZ*90g8 z!~N9S^_C-V7N(?_wd&nSQvdU*`dL4H#A$gs_3v-b2n7s2j$HCV+@=!~i16Iq&jkB8 zd@7M>mtvXv#3m%f(vaxkdgOX`@gH3E(oUOqnzj?8l*xzRz1+HJn+1p{VtekMq(c{F zQ{L&Z{A-cz(p4`dz>l$vS#J3LgF%2t$kdwO)Y^V{9ui*`Mx_?sRhTGSW#l3>gyR6+4F+Vby%0y*_YRc2BcsBM>ckf}e_=(~anir|<> zt}W}(QT)uj;|D^pFo(}@IpXwa7M*PR`R!wt2dl8>T6w+@wMe7wH4g&-0^`z{eaBRB z_Y+9)xj3(2Gus#@JsK*#|5`HRYyPg9(DzplT#1|pw@CLtw~~~|G1>C_s0b60EOM+; zySiYLuQ*Wd`zBTD_s}MsL<0ZN){oTA|9aNSF(mZAVharS2B#b9>kvFP$zfo64kK1z zf9aNqV0C$0I7Z4Oy_a@+FK%vBu@=GPr7f9LK{d7X&ra>NLfGWKTb(6?Fw^2vF=P6_ zzf<&P{}Rlk`f%BmzMsF_{#zdZTf+WZ2L2KA^OVf|i?Ef`_l|F~;3nY!fy@)?LU_9R zbqQ=gb!l+O?_+>cg$_*pl9XWgmc}5gVKa!d?nd% z_H8bGWSv#nu+|#1{aB$-Ljtf!8ZfEnPkq;1Ws=CB`5q%_pz#_%0DGB42)c>c_?^(% z>7GSVWTl_Qj!q-f=1SjYHbKi*nZEDNleAuGo~XB=kU~WO_^P*7xBr83RQA2iABQeq`(r=2U0OwkED7juEu~sgzB5}WhHjGKO zPENHvF}Z>k_dzaB88Qr3Bh3Zp)6s`DB^ehf=mt=hXCYt&SxM>qRtuCBx2@sSSdb~k zqj?bQc}dQM+#WM=be38l*e0?o;2b7~KGK>{$!aU${74KvprxA7muH}CNwc4$Y3KSh zE*%RTR$+Bcjw8jVmKhjUVRTlHB~{#iVXQc7t{)6ou{3n96veRQlUb%DQdQi*<*%0c z_5y!vVfUwjl6WK2{b3()VR+)Md*+B_HvcnS5g0-30s^-bO%M!+b>%iKD5 zDen~`b*^Mb7f65iF7ybyhPeaxN)RZ7Tf@?U>J$g6?zcg{lwN1)5aQ^pFKgjb$5;v} zxKI|>0)JNzD?yG6S-M5(Tx0~E0a-3(gq1ztckJHn+#^LEy^RYmuZI!-0T*F`T7#(Z z#m)1M1h^-NRX!vQIWUR;BgtdidI73I%;OU%HJk^_fTVk?=?e)-K|X zWF$kB5{76JOKFZRT~jh>v67xJh3>zk!`t#oH-Eq;grElh zze4{%rDMl!b@y@lf0icksHH(a!XjsM^lvI`OCS6Qd5pspZP|v1Gi7*6nFtoY--gs^ zl#71|_(}0|)F4kxh+;#%ZMP&xQ>hxi8{b~>Wat|4#8(SUwrh4+E&Cb}DwFVX0CY&4 z{4&R$2!Y+%@>#9zkm^ux4RN){d4U^AecP?rp$|6LHA0P}e;y0n3K@OvDxnxY{pr#L zLdxN-rSM*5jHbzs3KhF|qKmzu+? zZ{)Sw>UVAh#~*!t!3JSaCK(s=SzUe^m_vN6$#Ex`V6;nqIkeil@lX~D4)_p0`{7Rr z@Rjy4&{0p0WGWy5A_RP;&tZd5?D~avQyEg~%Xk4$N+KTc@6}e>7jZ8c)<5|MI^tdh z0Z)>7J6IU)vcgLm`$Qs7H)}%I)K+!kD7#cWX|M2s^Q&g8_~eqlksNO#*m%iAuEKye zSh*I0GP^E{;h%hCPp~4Vc(d8Abc!WuMdw^2`YqC8coAsIoKm=c(Q4(c%ZditFFQQS{h)VlIG8FQsERq=ca~E zpRU8BCnO5Wo)XRd-la z|0^~tb`1_IE`_-u+wPvi5kieIm*-v5wu%ErF3H-bxU|@4u)tgbwwQw;=Pp1&MjBFZ zG8|#V`Je=;|7&oN5nWXj)6n=&xSICYES1^amqNo^?9gx~H2l)}*W=6vT&)$~Q2P(L z8unjiOh$o4=De`G9WtDDhZK&aAVDV`5n|kA1Xq2sA#_tkzNAWVNjIzbGsO{#WzD9#T?a)NTVTs~o6z59Lx#C^P0%dS>-$78>;bMcTAGIyb)lSbs{gAL9h^Q>+ z{}w9hm5>h!TZARhMb)-sG0txa{NHr`HUR(c8MJ?#zkP=McWeJE&Y7iyp=+beWG!$o z;mVk?T)esjh=?5%seLq238n_DA={(&)G zCqy+27kFJko)0Lm`^u)YVv6DF6C>ak{3AB9!>8*75jX7^oMIC_^I(wB z?{`Tq_7Z>gKE4o+c;FUO>C=Pe+m`4$U`}eNAc7}x2{*P1*H_eA$)1&TS?DUAmY5np#kDveE8XTaePuQSR_n9%mxk`!8k`$O2|7*_)vN1|tbil&g0$0g0~nc#mrRcGL`O%v@^wQy`J=5S`rw;1qTofq#xC!%U4u zcPI#zc1y=oDAA|jm3qVj`%%9C7fQE+u(+!sm7y9~WA`Fi@IjhzFFt2&704Xv6FPD+ zZp$DX{fq`g{~dtkA4j&q=r$XBKMx~1t5#wYUJ`q-lOu@yE9`Ptkp5^T(T@gLlklRw z!xzzl=br?9dv4um8-g+W^^m(2g{8m-?&c`nQ%br!n9FtVAyijrJ8=#r4UVP1IwaC>|zxQa~BihbaQ&- zxlaNO>FhC%Tz;U#o1Ct5(vEYiO?QG#ceajm#T`4fhcZll0}(;uuLp-LDq1AbE}Kpn z{!G)kL1Ht@He$0~bFIw6WQzeqYJ3a6$_7SHo~O>*+hv(1`6c!Z2yUx=Y}fN5wKXM@ zPpfkh4H3$Lx~oLb{yxV5O^hbV&^9H7*e0%q?1!h#Moz-F#8w zxZ1;rv$BEE*4?~aGjPu2N=`X^vO<{5kYc~=>Bv~wKy>S7zSA|LgtbCa#7)OVA0IEwZy(Q*ZXwyDZS*PlSbGrj_jn& z=l4-gyHecsyp%^HG^!@z7MF0;uWQo%hVPUkr~Omh-8_O@R*8N7o`{>!^Q@Z39&%25 zvC{3lhF4ax%N_AU-aSp|WpEe0A{(sQEz1N+C~qtPyiRjE z2rIYcdsaK~-mi}dHFSzh^SPg6ZlzrX%s_uAwt5#Ms`a0CQkP6uSc`30Hm;mU(Y~%- z(!T^OHLU~1Kzv2WQb^xHnC)poCGBg%9H?KC*xeY@X~F^~&gfOt=Teh8u9^NpY+q#B zL0+FksTr{=a%5O?+p)KQ3bn#vZBX@Ox%e9D(^_@w8rrImMt{nQl-?D(FNXMQN89A| zluHbU9+&b)vO(@Um~dyytKIv1`@=X}sKdb}u5?Wa@YoF@I=LIQ@Z=yTHX;=z=`mhF z7tH%Ih&Cq>K%;OdefZ9VQ<75*(3QRBdPCmEhS!z!^ofuzJw-{Fvt1@lxH-LZqBIFC zxKrinjxVi|i@Y_l)eI6@SAM%S=9dZbgG``qO+9~S?*xt;O+;iqIdjz?cJ)VBig48_ z)7U^S62*b&tS4q`9G-vHIX>6uq38#&>_tT=18%@pR?@|l2T(5wLQ5D)VV(oGCJ0n^ z5welqvSofNHs)6ja#@c<5u18W^1}FCjD5lIhyYZJ#N;tMTro5uMzg@cE*5t_^Z{}Q zPLaM>hz%Kk1g>49@kAd#a7=}333&=Sr?Ux1S#*k!4WI>Pd%ubPY>$xXhGo0>+-tsp zX}jpxYiCo|g&IJS^2AeS82YqfkdezOKb*B=swyhAcU0-J9R!Ef1zWC8_5VaKnq zjbr%&|Hl4KNz+400rO9mC<&Zik2* z5u&zD6BvNu%MDs_ta8~Vp9-tbM)DN}Avm%DBp%siZ`Y)lQ>Vo5rkZ+|^mymc!j8G&sti~{6Cxv;3W>Q}REDLv>s8QoCH0rtIW?|m zbZcK|T8y(bNdd>oSH~u|IlgX1kmaTHr(E!7Q6;B>%gh2F76SG!&;Xd%Y+ zXANsXp|2Yc*?EP}37|{q6X{+BZWjG(m5VQ*<8t;+#oD2^5!u<$9~@DrYXJyfH!v(Wy#ezkGXEZ^jGr=deVO-qt7 zhOLsEOmu^qpxin~QDHxssvzgOXc0}H4zRmGUjN5z!nqP7*~Fy6$?(p8axAI4vK3#4 zvYd<>YUpKwOHPU^Go&NgTQC)Q>yzQyV=-F)7WNCpZf>!wX?-S$%ylvfEopKpT6CmF z>Ezf2*ZIdz7w>-rhnPR_;1$;`kkh102r;V(uIePrVow^fFG*Ci<&`vO z7S?DYJh&DE#L)J`;arq0D$y@aq7fY7hvVQMRVc-X_t)oin6bd7wdC$_HhXCNQ+0U( zq>d4qsOw|ol-(GUF2c!2sXYuIHgUyYX}6Ptlwm~i!Q9((J489iz68fv=w|##`$rO9 zlW>oZJ%GT;Fv{+?lU^Lh`mlnjuttc2sUZ-Q?TWyfZ|$z=`<(=?)ku%%n)bGwy6F_Z zPwB6QvEEPTR$&wx{hV2K_(d4B7`irq+UPjbZaxLKM9kvd$Qt9;gCO6XS<8x|OKY`3 z(nzq;&UfsAve+qpwooM$v{5KFVrpHK&8aKoVho}O(VOV&r+qxO@8`| z{F(DFg7x^u=Jj^I>KZi6TB6w`2wa5x_vc1<5ajczv zg~yq@wK5i#Z)B=h(V4bYHueo)q!Yv3`&2id+RSrW$K0&9)n&LnDcACjA!L~LDSJJ1 zR_l$*OJzd$M2-t$sMUXtdPH7@TaSza%R&IXit-Ici&7KS#Kf%^-c&2W+*j$3pH`i8 z8#27Oi@5L^A9siq>CHA_eXfWaHbcRf#zLYj5Wy+xM{5~Tv&ElSuVl8P3=@CwcEv*c z9z%61Bp`Il8F8p{@D_(^wQLkzHrf$e@+ZIhZGhZC8IKln8&XSYZmhz62NgrltI6IM z4xh>_o~3u5m!lXv#C-NbkyiA~ZFTNVQ7MMW<#q;skKh*H4W@^OT2(n8iks*PWqW-{ z^XE-zqxgNeq}Kj&ypUWi<}H=xubkke1E$;sgkjSAOM-p+9Z=@l55_Nh@4Xp|ShLo` zte#yCyOP`A+2g)oUv)CNX{|FsaCd!FPus!Spq+k?lKB{?D9}{IrCgc(p1Fx`O->tE z(M8NzXxx_?3poQakHCpPq|0eCa?MJz8)^aK0=cx1J@qtv9|jpqfAj*cTpULtg1g8jFV0x97A--1oHWdbP>{L#Qp+YEuZ@ct-Zt8I(GTm*j% zu-7&q&IGanxnuCl-t`(?D!VW7%l7vkTsmR+0~2T94?;33De=ky zD&%(^oz34gbSdGB9G%^6-E=9DbZ(!yQoT0zE4)5$F43Vd^xn=zbt$!V?VrsrjCCoo zbnc$bLn^wI09_Yn>hmOBtY1CnmQFTWd=2hF%{6N0mtet#m+HU9lVH(HP>tFt#h-Tg zT^gfQYRJse$w{1_0WT=BMjk=*&dsaGqDzU*A3D)*=v(#N@8ryNO{+`k#_v4SYGSsm zuaa!>;EXBZF6{NAHLmY-?;+uiSduW7eL2HYan1dTrxD!eM%0!hC2=qttx=zrkOR*S z47oA#Yl^4a&Q5X*y!$5qj$mTVSw%LV<}!^}E@*3cf)V23Ziljzq+|%D_&tedPxa^x zd^PnbuJP}0tm)3r+CfXB5cBA3p3j4yb`p|3)QsTFqCq_T(&3mSyc*A+T~95Xy!b~JYa2*p)3>H$F z3xR7{>Jhsl1Z$Ba`NM)`w@U(Z5&aRtA1#Mo-@L$OrTbxy4zlE=$M|7Dh^9g&QT?yu zPW&;QA-(faj&W=--DnULP(@D-TsMrF4{r4xLGroXbN~{B`ZD+oHA*Jbim?71ngje9F?d^wH~`0JOFpyA zS}tDl-WGc%jc6e+jU$YOhWJc|=iRon3G<9L^G2)dO!+_vvsR3)n5npxe|4>O@AWfK z-)Zl6tNIZkMh8B|b4c~c=0%L1dX%6lejd}(PL-G|JlT7T#+SSGAqeb9X6EbId-fmT_{=svQiR z=`DZZ5Ru5_Cu`iUu+_@^fRUlNygAWeR=q@fR2!XRlV#iEty?JyOUs)>B6IZTu>q15ZdB!vhE;9kaZcq{iHa+SMJSPD8ttl%C*04S|Wqe!d2TGTj zASlg@a3+u*MG8%eL(|^SG#*uao23MFvak<_)F?sYQUuU?b|_#41xBGjBNQl=q;$a! zht{J*0Wv5c3I)QT05SHkzAJrv+b1a~5Cxq900nHJKqVA7d3)L#-cr_A=btQWwi$b#d(?tTU>xAak0?<89|HG3 z%T&)Jm*Wdsme_xo6zKn>kDj~nc%Gw3d)8|)9zf%@ANq9`g@VVf&Z8A%i!EWNGv zcc(`LM%+FNs)6ew026Ol1l7PEwjpyGukz69f0*|x_OUYnt+sDBx%Jwd1urck)KsAw z>H#{MSv~)^6JU+D2tODV>#S@s);^2j-WZ-73T7vuE|yu zUldPB6mMxNZ(V9hMItKEu!YE*$x$MO4@X5Ay#x6B4c7+>w0m^r`>+`Gv#R`O&Kk}M zZ#71e9nzWFu)-=E-8wRNw~B0jOK4`m%IQI8upX9s&f}I!(QlO0%s`QQF5d@I*3WW( z&M22-6{TjjgOi(6A3;zuEgH#dOkrw^2)b^X3Pj=oc#?yZdW7IEVLO;X_C3GgE@3(t zK+QcqFqi1UZy;pbk3COs*xnev?Re{Hw0$1<0JlluiRDQTdcS=ha##gt+}Dfj68A;XbWsoHrgVf)?&G^mo=&u5xMO z7eiq`=h4iL+t@?aw95!$Q(`D#XAhz!3_xbA09?~g3# ze^TyRHBb?chv>b(Hll| zfW%IAvGb;NHT`PbS&WsnOmkF}UFUC~sD|YOneguDfBVE%Y9avif=}SbjG*Ttz96%X zM1Z47)P@9L)}V009)H&nZ0ZQ3aaYU`R24?FUu-b1!J_&Wk;ptg(>-5@M-sb|w*#py zIBKgLp98a)%sigCm9oY=On5mU+t_LNt6PHc9f}z{4o8SE9e`DnE7Vx_3nFxKHO(zo z@^M9I+uN{H)&@)=ir>-iPi=GCk4s?^2my0Df_w`nO6Xd!&|RMZ$gBtYrwG=U10>Z0 zfHispVcxz8nt~GrfJwI-f~H_aalvTYb3w{*UD#mtZM8sU#4Z@H_x4#}4MGydcF643O@kl#6(k`~5a37WqT4J2_5o-kx>Ms!XiN|U0p-iWh5byCTVA<$n5DS$J zT9dwwSoEkjHTFwy^f>$?4mseL|?dr_Wu-Hn-?XDJMk0IAj3-gZM9%PP~@mS~2k-#l&Qv?Ty+q?4~2ba#runEUa*j+kqY_`H}!$Ck%@ zfahCg^FEU1SD)#$$^*-T;qA&gN&UjUM!Im_7_xsbfqv`pSQ|7xyAc)5uNaoLRR@F< z*jqYZ?f=1KbYr}nV%+C`kecwgfBy$#)ck5OomOz59a2J}{WY~!I^0TLsh;@PAkV6z zo^!#%GD|hbdLtb`J7z)-fGg!Ze6HkE^$c^n#J zb=b;LwSyo2!L)Q@F8lwPt!N>Q;vX!tYEU$fLvbwOs0gOAj1F9Lj>tZg`a%DHF37qa&wZRtBlJ43R&Wq5{CYw`$>A!roJC9zp9WR{-8NwKhv) zBQe8*3u&~mFRhg@1CL{Cw6Q*|6{%4w^AKp%E%Ue?4~`N{U%(60PnAG`-zT2_iQ!_P z>qhFC@Y5Y?G?MN!^G>P1U z!g}BTU`0pJ&NP{$lkp$w)pTbDq7vI6q|55&EQ-0>W;(PKoL?$RhR;-Sr|hjX?iX_o zOvLhrkTjp$>CMn9{UNY3M_CBsq5f|uXFs>5WGc) zf0;wOlVb?9i*2z1Xf=Qg%3SEqpI#iY&1r_*Le1jw(@gTWfRfGTVwO8Fs1JIrQ@~Ex z!kRS z!qz4-dbKjYW3n{tv?^Dce{~A!1?*z-HXiA00Er2jZ^!l4?z*|$23yW|>BK6Yk$z0^ zn?l~j45Kq2>zmqZj~r6FhKx&{e#5U|{lb?uC3S%z!2sQEnz)rmZK87mL`Wx(sC^`L z^g}Nxk4#x-`6?rvvAe|&3nKTd*+|fZyV_GF`hlm0fOC?I2`RMjC&z>y@k8ohBoe{& zC^QUjRtbLnJ}DHW^e93MPJjR@bl3VffCCM@!?;|MA_%`}d%H*Jk}wFZitXdk-*=jJ z!5)S>3DCA^q1oa6yrY7|KEKxE{rDv*MrffX#*vH47_^5}D8do$eOizXEu?iR4Pb2- zhVGeNpnK*c=$?5BisV8OacIpqjE9|qfW)4ar-V&t=Uq_DKm6!7bx$9cTf+9vV_O91GXa2z+>})U+TTyl__l~E z=qc|(+2OtKRwS~}Oo6bl7(j>oVo543yn}UCc)ccyACt314<7n&#hW2y_(u5Wk@mH@ z3H{}>34K6D;L>dYD(|a3m&-T%;c0XtG>>0ZNjw)qPjLJa?M^TL1!JoESl~e~cT^m% z>?aO|^Ot<3fGWZdDobz3k;YAy7(fX6+>a(nwi0|=1FLQ!!NxJ4PHC0gOfKOH4hMKWKa#_0 z?^9Vykq2x3Gr(%>Q+$mJCP z3BC?B2=#kOet|g(Amaof#fQtiKdaFv^smzNDy_`+C1Di}=n;c>i8;yY#bA6ye@?ZxDb`^!aMM&}G^20RxWj@KKBwt6ky(i`d zh`fDA#8pxxDXOgsSMcKAwxdeA6h_4HfV|ZiQ@;(VdL2&dB8d5+NAD!4Wfsn%2x(Ie zvm=GvlUW3$>AhWb3(9oN6oc1Wjtwm={Wk5D7XIpE9BZx{$}TA7sbu!HN$s$r)s8Nh z1-hb)W-IcAZL`gXZ|<1+{k9K(t7-kF$Qxj**Ai=7g!is9&%)V9t~L=#ZmKMC%{DmY z^uq~}hV!q_wX=NeQcuZDZ7hu1Ct`WGp-gR^Os$BRA)uYEv)BoA#lRYWSl>I`?TXwt zM$hoz(;~g433iM+!0|U!*FJ>y9oP-WAp6!2eEK~gb~a6oKY8WDWWNmf!F3Q4^0A85 zU#;f?umwM8gh@8uVPeNzV+{<0n0uz+y5B-@Kzltk5^ny4 zOy3)OFKt-2WV>Xi6s&jteoa2(lotubzM+iGx_pg*u63hWw5H6yuVfn|^G{`QyPbNl zklpDV6skwLs4r87LW|64UoVeU6x~Sb;2%~yFrgt%hSsTK(Y!MIy&v2Dnclg@?N$20 zNVcb)&^ixf^2V|U%ks4gI?v(a&7v~g!nA1v@cZVInV?#8X}ul$`G!G_r1Mv+!(sQ7=xVCp8jD;M!P~ zbwu7?rTYod0_71qtG+WWJ>}aYKPd z*>BG@5gAgdzIkrxlYz8&Myc>})$D_j?`tt#TPGx-_7KW_qpV%Vd{3`cNT;o81wD^b z#tX6rY4BlyjEzM2=$*s zSTmD*%RdmA0Y_J9sfOz@GWt%T>3*P^|eZpO@ zW5t~CK1Dt1YTsqmU#r$)5o#snA}go9SqR}Nk^=%L{rbHuPGH?2Z=ep@Pn0bx>a4$oJ0JN`9?uiSW; zWBw5wLrS5ub(>&^ay&u-fLi(VJdU5747P5Acq!S&{uJ+IlUFG}&k{Sl2G{!+tqRLr z=oXF9ROFM_>*$)+N%%=kFf`G4kpmYeTNrV>Mu@v6`#m)Lgp*7SV9G#M`8kx?^{xT|vM-K-`7 zpk5`RT1KN!u>og+r?&fS=dFoNL%H?61LvF4=|6{a` z^9t)P;xF*qILa}S6YY3(8#!g@`MUw((HsLf{kilNmJdP)0(=e@3e@{eWL5X)XmRg} z&e9j=pdF6CN`S=kNM-U-%J&^Ha3gHnF6o!&!ac|ra4FrDf(7BoJ{%IFSiZl=_8dru z7hO|oIDYo?>1q*w64Nz%h~_5Z79g>;v$@~8t=MF)M@%@p4k^<-_p{}+JQYhbPulFG z579({Ut9j^QTJRJhS2Mpd0T7faXBobbS52acmBME>~V_?3ehIl^~bTbXz+b&{UBN7 z{bo$ILOVE}ckC(tK&kZp;me~HhXVVUxfh!#k;=ESc5L3xpC|XtmcqKD!FB18DTUeWK*=huppFR-TF6!pGuA=IKjo`2&g zPx^awi1+o1W22!wMcg-yeGqu!x~h}HHXNm8XC;miuN!8?KlrUIe*cT=$)`uz=3a9X zCoDDTdd{C(beBC@=5SbGe=NDKVO9@_RIsB@QG1VtJ>z zLxa@fvjC5-C-!gS?(C^X;fIg&mMqfjYvq2`*2*&TmP88dOXZ#U)>F{9nF9M%d8gIF z1qtshM%3Kd?_J<4W`%r9;~1$GUpz{5i$kS%XQfUNz<@&_XDN*Cl<%68Q(r-6cL3>i z!0v}@(cY^a(N@5-8d3xQa>ziLB+FIDc(qW6wy~mNF=zko0J_)2Xp878-ml=BXkBLh zrF{fNN!HZ$1%m74x8pz$bGeHrr>oUjgid6nU_W`cn`6Za^U__iu(r-`%;UA(2} z6&7jn!)9WH^tEa{Q~NU&eFyUvvM|nH=Q7YRRoKH$GfkMqb~7Cm$bkZxs_|{-a?lhD zG|+{&l)1v9Ut%L~p~L%}z-msi)HGHa3k zn~YL_7q!NOf8EZaMpd&W2u-4DKzma~5NRo58eVU1ieIv8f%d+p0Tx}quYh`eC|(-` zt&}c$jS_|}8WnRkOV27HaJEbQ8&Rx7`5%PZNiFmg-F&LAt+B{O&k<3H+z~brJT11f z1CL;)5OVgkJ>bpYO5XH!QMc+dSYdBy=EGpGRnZM=ET&7gSe3!g!K!hU$k_u_k&6)| zw?s9Z*IKSg_XqPD8662)DG-x0#jkjSGFRVtN^XC4SUe9PCMEGot=I2AH)P`I+D;_# znqkyi{h?7}%K$7Kh64mf_0SEqkFXj!yR`1z-8r=xsOngue%4h^StqEdY@~ZvRUu~| zr=huulDw!w*Ya2n{|>IGNn_-El!;^YLzzsf z+QqPi38DP$bQowqbz5-F+BjaCc{L9dxk4-QC@#ad!rHcXxMp_XZkw z`#5{f?#8}fc2q@obYAsj-4&VjBQu|Sd-efL;KAFB?=Jm#*-l}gxqwE`i)6fDq_Yrz z=*CAz8|K$-plI|KnLd*L%HS(z^>kdr3_AH7n~=+I9U^qQBxokH||pa_V7= zFi(K5udp9NxHxHpnW0*1mR#cKVuU2`7JW`Im6T7KEtvqiYzYTG$C-8p)m#U;WVZC9 zDyb=|q%3SR2+-Ds;qqw}>R?+W=(h`kcH!j2pv0gdSl`eJZ^Pyiv~y`1dpS5fO!mCq zYO1R>t}qdpDj$(EzL%-3BRwWpM?$p^EfY-=1(CHLp~}z>2O*0f4c}{1NZYb(?yhzazM5BZFkQ^f!@pEX7^CmQ^;lh zgv?809x{?|77g?}7-9(Ua(b-a7FNA`0wlZ(G*T|MizSy?@|2B~`2O@2RGZI+4o8a6 zZ2f?th*?(ej3xGh*=yYs zOyTc!(YE9o3k*aTJw^w;(~00D;%>%^&xM%B?%Qf20rzOqAy9 zW^T^~Gz%1<*yr6F=B%C2!Fo2N;hr8IboP5Jv1$oJss8>EGkX_Id?K=o(B&2*n;INf z{L5_=NCo?Zsw+hj0F3rs-me&F)^R-lc4 zd?D&9qVve+FkM1f#N9iww`!l+6;gx8M1{cMBHymXQ2M(ax| zyMLy5v3OA;j4?}jg&4MEms$Yg|qciQn7+JAmU7ny3+ z+?g>ePA+v1duB33$~VB9CuRXa1i$-hco!r8=P#7gq4$eWcaO6jz^xS4S`Xh!JE0le z;B!qLDHfvOAl^13dtqAXHUzu|g)HMn5{$5(Lc9JS5UqK1jk_#ZydJ2WXIKsYb#b6N z7F+;bP`Vvg*z&I=RSFH_UKPP$J`u&X8F%g_xJZvc0065iU>es14#Is;K7&MM z`iFo&N|fH6@uy|F(60!fJq49qq7eDUG7&bjX<}Q7srhzWWgHR2Bd(psn!cH!j*&qK zYAq2`Mhrc&wIl>>Gi4sEWWy!0F=sS{T$DaSkX7uhcjR}t0xL|qiA4|<>u@)oB=|9% z2-2b@f(5wi95I&+&9K3DR1#Va_jnZ%mgr+YSKI}BS;#deatB*70fk9n591J8M%rwh zha%YaQw5Xw_)st7J2=x9D$3uFwkhMPg-EY?UU)wS4XH68dB->riA%>DY`A(If zw3yFe9P&9qM=>ot9fqiO0e-S&nED_GvkP$s_$C}!cLD3?KooO65k_va@QuI33zZDU z@t%*~b`65L2{z?E3vO3w3tPy~bE@+Pl)al^CC3lrjuroQSKpVQ?jxeUQ451*hr%-t z%fBE6ag8dyod%`^rJZ~RZRo7O@i?~Q5MP`W8nz>++cfO05cV**sUYF6Mmn(}iQ0rE z*lDoaNj=t#9(ZEE^{;4G6Q+Vt`X=t;P9IUKkSTZ}1zxhSQ%=B9S6NFtgS#`~jkn^# z1tg^T%7T`(j!_cNJnG@60+5PgjPtyF5|D&lBpfcxXPmGR41Zp>d(HLqy1wjoLX+^9R=Z8Z~#IVP*oeK#J%WVS?$AvuRkS>c}gAkxw1lo~eJ zck1T-=$JnM-y{*oToL0;mc^j~&5ujDaDrccce%1EwY*86_thG$0>zC+BL+~8Ujl_*aQ{&> z^24jlfswAkU@mAc)4+h3!La0;z<`ovx*Q9>BWsXD@nRY|uy?>t6nE}Y_N~WVA;sII zIJvWQ%<=g1Vq3VK@(0<^2G^bPza)NLcsB4Z@CBStS^gqkkV^LJea{=jzGAqK7;~lq z8Cm*dJwN|mY&FEZ&t|0_@60fzTPtW4uY1dRo_jFpYi{nIh_+KOiRG>_mqeK<*(jyb z+ulk+1~KAYoiW|U5>Mn3yb~@+63qSe#s)E6F$rc(S;LzqoJ`Vw!N$zm2Im>qmv8@c zCJ~fGYw_!D2?J)is18D6jF>muHw++sG-0~g&CoF|AbSmDk+nN-zxa~B>hEV(N{J=o zNbZJ^V4}f;o+0v~n6r_hwbxNgr*CmY*XirrK+fZ#WRAH? z0*RBYN10tc{azgiS~e9p!+f#XiHDEHHmq%e+fjS|DD|+uRkg9&h?c5xEux9#(hhI$ zj)zi)8&WiAMtr!jzU8s9I*-0TT_7$rpCx|g^~CaKk|E5|lUhtR&P!vK*(l->PA)&X zLkiKP_avKCqeV5Qp=vtmWCG(+ctYVMcP7a=D%xb|t{mO!m(0EZJM3tDHK_V>>F&n# zre_@ZIypn#!Tv^5`Nh-&+m5>rS*{I~6HVy5KR~#kJm#;+07TC^(XhUeRS*={9tQ$@!l7?DEX7ZDLn2Xo0EM+!!NcPBg0fXh| zh~zfo-DXB=p;qrWC|`md$ny&sc+EG_nxIkg_b$pwzJ>y9gc|qY#A^i(QB9@O z57;(Y;C9t9xF@{pC+4bQU`(*}!t;q>`~fv0waB6QMb?MBr#|dl3OxPmtgm{GwhFA{ zX#))J*(7uU5OKMB!)H_LALt-1W3GvbO-W47`Bi%cGc`|I>C0{MjLF9UF*EcM{9RBg zTlF|igJV&Bgg}&w#Vj~1%y%~f*vdkmXV=4Mpq%tJ2U4{iF3xv@v#Gw^Q8Gj6rO~HKQO5IF%?o&PP4%;KAE~1Ds0Q@W27fjCiJ0L1Ty!@QL={T>ix-~jSbI$z0we)m z6{yX_Ax`t?^O@?$`Yt`^MgvoF#CHEc;&jxyXnBBu6|GZyr!r;=(ME(v?Y1^#&DOVE zXo$S5QnOR5sc|u)n5$!cJ-^Z{C-z~V#xJZ20KvYMSjb~v6x6sGiAI_Xqcl>=_ii`D zxL({2h4oxwivrV%GPG-Z!Siy%{uSe?M)CcAnI~6uM=Cbsxn(7LOmzi|nb5$12F>Y` z$pr*h8n$xLi8H3-?9&{i*>!GFaCFgOblxZ?>RP2IYDMEQhi$hIFb)G07S!#V^vqfO z`f47AW(bNq+%hYZc++3q(tX}ArQ-a}&2L;+((;m9f0}e>F}rZ@ovhh1ephiTrK_+a z^=&~DtfHJ&TE?o0bYtO*M~<3L^v}=aBEr`S4dVMY*gZ7b-5S>?xF1_}bp$W>328y^i$ZXF|AtebJSjAeqzFmD?dfbE8u`^CLX(&Kf}nlRC~JDrYF=_`&%dRFsh zmqL&+0tH+{^WU`P?D@+5n{QW8$NZ5_hHa)=%gGF5%FjYY*`q!^@P#dL_}W8v3~h^s z%Lk?1&p4q);-@v2GSbnrM|VZ>C#t(6TgPw9CRhu-gsms!mDJ@w;gN(ne3&ECv6^}l z1av<}YE6ay^j;HFn&NXXSO>j@1NCy!=>tLV>bhwi)J8Rb z^LVl;_Zbsvev|1Q|43#mjCl-KTZ#}siNa^MdLF3!5+qLd`;Q9K6vpT{3<0ksTjUMX z{bt^-u7@e=Z@5_;FJ8kml<#z_*3><89arpbDPpT9I@4Z%ZB`puh+3eJ;Xo&b?yLRe z-+Kl*Q?j5j8%=Mnc42Jw5?2pu8C}(&g@n$uo*|uELVH{&Lr1d5(6>`f3&(O^TyL-) z^=iReTB|iAoJuZ@Si|NDYnHe>6J^0J!;$EMu9cv;YuHQ}TW3Mr+AIG2WA*1&ZNcIBf-ot1@ZP=Nra{uAH-f-&FtFS>OHFvX7;HiRN; zS|DJ@o2;(e(O1#|+%s2nvraf>W)&2=sHg8`c`=4@56`*m?D_3nwo>8k>oQ|4ZI+nxQ!Ih0emws%-lyoFq| z&WYT#r0lN@~L;>Tl0M>%+q#k&T7HfMZemBp>C4Rrn#YrN~77#xaau9#Eiw-GbJ)Sbv zo>$QdIzlJ${Jt<}2YI#hr&-@onGSrtlSmsFN=g;*pYlQZ?6 zt>C(~?Se{UK z$1Bl+u>ACu)|JWHL(p!ba?iPr3?LW*!B`u2xg70{!p!U{-ekdB3Uit+jPh z<@$<6wH*)m{Yxv(y+`J1r|3KB^Urr$p~Q+4HeCOo%XJr}d|>P6>>sIXR5g{NJE9P$ z$#`dSE2DPvX2RMlFQ1EvH+bqj5m2jQlUV8ebBBlaZO5VLYtI3`Ike7&-E)`fY?L?g zEa=w}(f2C~676f)wbt}ZQQ>4~>|=3yhD*X$4pn4Y!G*o3$nBTb5fjvJYkDDS(|oSi zsd$fPNKYGkG&LVm^EDUXOea?=D?S6K)z`k3w~rt*=UBf-;X~J*Iu$tqfhW(tX&Vgm zF1N(Zn6{H-{vxkj$oM&4+<+;n?91-1lPub93gutS+aBer$Ej2qs~Diw}+- z9yyCE={zgRsPg_@-KYwVR$n?ukCqm6=K<7ZuaN}n->J`}Lb7`j zEXpGN-ZS<&HFtR3rB@}xYLl6XZT&VrLs|NvDlCP=CX`h?fY8N$!Xju8-4Sx#^?CKysHFkVl z(g|hbu+yZAke?YhT1WP~+i5j98B(c!C-ZXq%~Y{|*X|@48C}HPiyu4L`+7b)`Gt;w z79aCC*>?Nvc(J}yp5$m9LKypPrqUSlWT|B^U|`+jvXuNFyRsKFX@@ zp+Oopm_B~)Ja{>WXG$8`Z#zX4*E-KR<|Z5``&ZAsomYp}AHOf%K;2?oYSfyc&O<`V zOqD$Kdx23C@M}7zgYaVlI~>Btc?imQj2~IHr?h8xH#qtb zV4|t)k55phsEN%#m#0`oe!tP>P=p7mZbM351(P_eM0k@IiE!tzj|#zx3~|<-hp@|s z!%lgAfXK9k4*J z5UV7;^}>j;sQW%nt83dpY!gNtG=S?>i=3Z70?!k;Z&P`Gas=KcjW%3GE@DiA>Q&7i z1{Fa0`C!^H;I!Y9a(5~sp|ozcdGfhP z;8+tFTm5^R1f0^|BEf91?Z)G!2+47994q0%$#ih4`Ir;Lu8;+s(U#n^Akx|K&E$CH zk0pHcMH&E%klR>|0=F;*c?D5j47x9w;D-SarX*?=+@8E&G`=4*j@^fU@8Ac)EZ?eK zd!O^sw+16?%x;QHpCAt(3ZLm>I_g8SN2hQzW!CkmEDgoWldQvWCmL!IxTGj@lYeII z{=f*k81gDb%C!8bbr|(Kx%FDEbo;C28gifmt4>?{kTToF`REYxC8?q}Y+*%_?z)AZ zi=<}?qSqWS^jlPhrpt1xUK(!qxuqUkky7m-HV}R7uJ*EW_q1#6N8)LMSEhE*^TvXM z&kXtUyKrQM;Q7>DojQAgTozX}9-E&>A-W}gu6zDs47Q}RmdVFhWxS4^Z+W{ewd za8Vw?C~!LwM>w|-7l;oWoVBI^g~kzZ=q$`Dj8j@eW>eYK7oi}3*GP09i<&2^ii z#{Oz+LgO4C@{2QP*JaVm_fO-%&4}RHIao}(IkwRhSnR|W%a*sWpab^mR!>-H!vFo{@3o{aC%Qfv%W8Gg*qCz9hgP%@LXZ|V*#^e(HAra#n)igRE<#N zRZ%owJW3&<%gAP?;p(`dg@{TQjxd51aD!hl!3UnsaM&IXT?d|;aTJ1FPJlc6R zu=ae(r-IsO&8OKR!7yn7`qwDgYj*^&OiRRX692~xcynbiO@#-6nIihx;V6MRtclK0Nw)rRhv9b=ghWeM4>zD`CO4uo;Oq7e<8-0z$iG{EAu&bp!B9_RAMS#@XTfWimsmpQqQ3Kgke6)giZH>~*;%0ZcbD>w>- zRxZx)Hv(sn${iTPTtfzS_@A3t@Zz`C8`p^hFvEN(tIrA_@ytUm%>hm?FG?g|?jYm4 zS_YSQU0`r_F@X;WEVn`J+3#F~>wTo?$gsN?tZVT5okx|rbP}TLUWb)e>+QZk+GxuZ z&d%bFLz>TxMS6UTPv)UM?GGi{&$t1~Ixw6WWrmvX%Kh3@UqfeaM3*le-ubY?PvvPS;ex~5{lPg@W?m5;WcvX zim(C8N_j_piL{w7DNA?L5a8B?k^SK!v zDC-a4p{(ZV7vn+Ifn^%wkI}IWn+)DveD(_u;$n&khdN1A&*$wekcnItQAJDBQoX;n z!s)l>7*)tqGj@8?iApX6&m6fmUnXvy6V1D z&%rwlnmU)L_r@Gjt}68YF2B6`(=}qS49;YB zEsF%h*ura-GiP5dX}2+1(Re9y^;sly8enKb~!aefV&vzJF$%Xy)xl0`O~1IsEx?MvM4axMuf_0(rh*NBw- z3x12ihLcg)&g;Efhc(0I;5^Q?EkjeE#@L6|)#r5WknS!~=8VuNE}Om^4|a!26+AW* z^{^QqZMmDTT~5tIsjt4o>_-x~5dd7F7ZTNQ)cP~x)&;iB`0kFvu*2l0yBy0yZzem- zSW@ovu*=APe-x=OdhQ>#6)L$KW%4dKqP%@~FAtn9nhlQF6@0(>hQ4NUtv1NmRi)aN zlMiVTJ#$ZQ*QyZJlPH#u2Y;YJ~m7{T4fZxbE0iS z`5?GR*PW9GVrP)E<%+HjK%{L5==0V>ZoU>L=(rf+%z$xaIsaik&rnO@6V*&s>;6aw z77#s-jZp+90iw6N3eEWRs|Mhvs{Z-V-`$$=@ML_$dS!H7$B?q2?rgjZ3K4gTK_XuK zCcb6cpjKnWD9O{n#CCh~p3zbgLK&W=wrS@Eaixy1%n={ojOk+JF$WaMe8p;VGo$rU z#D3A*OU^@UjSz{S;b!aub<+zmMVrcR)_AkCY*@oZD2jxqJ3 z!Eci@CW_@&8ll+fH%$~C70+c-OCNr@9l!v59r5{~17M$?Z6>S6K4}SwzW&j*TeN@e zWyONtDIIe?@5;S^gh?W;w?d3AlDLU`l+`0zk4baYn{xF4HHn3SqO6Qz<>g3?6 z6?moXs2_bQ)6i5KF6zs-Z_|>_@*Tx$pxv`j+7`56et#$T*Gr-4_P&CP%KwPrL|&Cr zZy^*3DCDinxsz)o?LQisru>U-QCd@!z@w>uz>crcHr`tIjDpy5gTtWe7gG9r^#*}M z5SbmfhO}_M#4VKS%nkZ2+xi%rRm7xf&5bO_DpncwNj z)%tyqr^?YD*hf2B$yP1N5nlG6o$-9l;h3rgLOgwJ1~$p{_r!uCu{mvLU6LDjSsv(n zMEHpwT_{9-o4@nencSW`l38<7UKq6`du?ISh09l!C12S6AhexcY;IhJ3W^%spneuY zy0~~h4;q(o*m@4S__(-qu=vD3I?$u$k$Gm49q8;{>>fA$dDn@j7F>loF39h10CTB3 zs&`ZlFVy}%81k$dbW!=1TnlZP40_6B_%l^ye3O!njl4Zcb8PF66+3eJBh9E$Krh-fhK`E*I50I4q^MW^t~KjHwGdTl*#|c2q3gEz} zYS6ylWE1t`HpgnppV7}vQ)S&ko6KaY_#sp}(-ZoJVS^M64dL()-QA+U__|PX660$K zTV$>Ia-wAp(5E9f>toaGXobN=1MWclhu`x-!N?s6))T}UZ}JZ_K`06-=c_}YWMUBK zn6;U0$+e8>QVfXt6=Phc{kzgoAJ*SZmT5-ITUL zcGiNg&X#lbK!kZ@-EA;#VgM`2(li z5C}e~ilO-cMRK&BIoy!T_xrM1E9;c}UXmH0POB!ccqw`VlZYA3SA9;j#pZ+36hvIv zrB5z}Nv4beRbe8AJ0bWhHp6hb2hxm`2sxMtpfQ2<6Cps1-c z5hnKn7%~;PmnFYRGa%`!oX4GN(UG9>QY^9#KZr?cAhy@q&$5>_GR(lke}A^5tmzZu znamh(itxCSbh5rO^ZQZcR?|giSMkVPBh5!z@%r_q*d03Q_s`L!(j#0Ir(L7^4A1YadnVunDzvv{y}Y2E%8 z9PT@NJ`#d+X`0<-Rl=ns@_}U-eklBasI>X7D&oNRq#{IuZ2c^+H-4wms${X`=VbeO zt?_cUE!PAxMDG=gUsF6*Gt+C**C4~$*)@XhyAo%*hD7MUIuzIkgu_as=tUl4jMRF> z*+BT<2eS=~oNk7Y&Y^|3W(RH^YDTH6nw#2)XAo|c z9mjV-#k_0SILY(2$TiVeJ=opDGyVk-!l(@VK7tWs%3W|$CHTJ8WThc6n!p{O%d=H? z@@5!mi7wav#KMQM8lG59Q4F;xdKo@utAh9IU~}z=nBQIK!=qwIGs>g43F2rjHsg8$ z{&c@C_mFTzPPOVL*a?7slt!}pCK70K_G_u7Tt@8NgHbCj^-dcU8{)6xaq?xYGi)I}zMYNGOgf`*iRB*#ot6grS zk&z71A;af-YyqU?)Rfe^gVa$gE33LM=d6|pW(Zf_1FBdJeyWR9rG=3uk;e#EJ|%I* zp8Cf6`je_YR2(dZ=0hKe^02|3>&&>6>@4}Cua4=fw?$EuSGKrHnA7sCkFEWS<7y#S zu{6}w#to@~C?6gq;H+O^=T($d;NeU^{yd}9FW#xBaDaKQbH6a?I&{A%S+3m{kzD%5 z$kCj#zEAHYKM^r;;2!re09QTcQB^&U5u6CD8%|)wN~BuHnNQBHv&6*PPj96JxFszR zZy7`^7N66r^V_avmxW|D`U^kmCbh1us4tduob^kRea^wy+1J0~k@z_`hKFn1)6oFH zt+e>dEkMrtX%r2bN$yiV*|{^rW=Ta@j&;z~dl!{9T)YFl;73c%^J(RbmZ?L%&lsJPw6?@l!B5*nl5 zDuT-@8E6bLY+j=F_f!$}H+o}7UlzZBO8fV(#W|qTs}6o2DG>zG-@*3ANfNiBa9`*o z5V!t3rx7?o){+^L`!gOwPv;;Kek(i#Ol~JTDb=mRlB!CpxiM%AvgG!)pfp0cyp#I% z6wt+8OB(+~++~!neJtRuWbbh4^NQ(?(7S>Bj?8B8rm)&OHfpWcJRj{peYuH4OAO^g zSXquJ!0pPZb&aH?D|K|Tm`p@4$o$eP zzW6$0L2ZBe^owW@hRLk4rsGX8S)A}Z!Fob8dl8@4XNN43!}0BOBcbf)r$u7DSbXR_ za3ne}525nHg-jO)?qxTOQWC!RAxN3I3d8`aTQeDnWd3S#A+K4WD*tgarYA?_ee#Dbxlm+X`5mn`l z&eF<~Z}|&mNMX-2)aJMkRXMqK&1em4|GmnF-MB~C>6AB(7ems-R>!v-dO7t@3t&y> zlEs=y0A1?+g};g3X`mqFW2J4J>%dA`mJL){>doEe>CgARRCM3mT=l?bK6~caEtbq* zdpe@=*sQ0Iv)tG$qAL$jatO3U8`iU_O+8N4<5B)H?PzuxbbL z^9vQa@~hC>aZ9X$C|_mBUfbc?EnIm~-E=0JdaLaXFi>mNqAtwz>C9;E8Dz?IM>O#N z@?0fs6+4{^v&lGK1iT0A1K95dxlx0Y%n8!8(c2dMm{$hpw_2as%ze5@U3zO3PaD)P=fBv^y>;xTi*dDj& zG+}nR?8^>DD;{w7>IY% zw;d|3bOD#;&3+~*{4ngu&9&*M;J;v zMJfZ&oR@n=NO&F!g`eiKM-gi*$E_p5^1+VGk|bG35SaU++Sg_(y68K)Wkcl0EI?Pb zdGPg~N?MNj5xHoo7&IS58S5_*!$qpIMvmijcAZ(jV7#(_N)`!-UK;#l`0(?8NkZyd z2?*VOpqgla4)Vf)%L_rtfu&xg!#>c2i6Fs9sfVh1Ev-aWy;5S=v!alsBN)(SbVm{B zUHw6J`xOVzPxkoWU3c>@lb@NP2`6O0FPBQ8#Fp_d*#3r9M$_)R^Q*1`N7-bbSyW_1 zs`rkwkGHeOb*HtrZYa1~AYa{Nse!`D;jvWQ1CLRfgj`LCg$VZMqH**UOOvGN)X4@? zuM)Z=sY0e2T6QF`@WG5F3qWjMS8cUripccCAU}cWcsfno-IiUKp6Bt6c* z3%8V!-Ph>s@&<_OS+mgu!Zvd-4H}hI2)e?rdG3bTIqlmp;VI$+Wp5DG_UFwv0Ahcb zAfURIVoI>NTczvkLzvT4Y96nhVn3k%uk+`p*(cbSf1N%H>gVw<=T99oc~dusU$&0) zjs}(xVE-4e7$5B-00#rR{ygCS8*p%R(x-PeivA@H!Gtn!0slg{si1e>2v^}Fw_DPr zC<2L^YF)UIvtlS&yXx%`UoT<7KyqWec`-51rfu2fQfmf5f&4I+zIJ68>@uV8jCojy zyQ6MFJLfwT$Jb`_JFk`!&^l;AL$rRU7d=@j#Ntg>e_R1Cziw)e(T`V7-iU|C>+#qD zOZQ@mun4^UIi5xcLnAwZMbp-|$~}&qNAQ_OAZsQbIl`2W6cFa!i_bIT3}FMkbV!kf|uy!tp|Z-``#8%I(WT zh8MHRm}=XkRJWy2mM?@C5r(AlnII;Yhw+Hn6$saHf4SlQZ)xlP|^8epj&o6gOCkQaGDw=;%$qE0VTn!EW84Dt;HVzW-1q{mt z<9`JCS5q7Pm{b0TGW!2?{HOEqf8SlBpB5>^fBO*sGuFQ7&-72c(6%bC;(v;Ij;JN1N4QYok;{^-Y6<205i+e&0dk(8gNHJCNIA~zdP#Za{bqJ{I5Xe2EbFkHIaJ8y=Vy!ABRhW6Z`HOt&88dP$v(8XQr;T6G!J+t z(1qFgUrbC(8+Ti7<4)v9d^Zf5e7)IjculVrdsW`@;)Wl^v;V%_^@CEJ&+XSHp_p>h zy?x_g)Nm^|>#S|R^`|e_|M@c;{=WIPJ@Jlx=vVfSLa!Z!aXH4U%8^Wq&)jmuRyk;u z_xzyY#(vP^GZ3rXcH?@)^Ep1V>o+{!bfJu4*K!ziAg$T39rKy6eOr&Yeb=@=u&s}5 z>toyc#I`=Qt!Vca;ClFS7^Op^_K*wU%>0+&p`p9oTfk*jX)kdw#{cu;dmOV$L^# zaku7OsI+T^;v(nUZO^M-n91|0&8-(oFRkvZzi^G`XY-339`xc&K0lK?Kh1X7d6Ugf5I1Y7Ofj+K4)EIZr^%9Tb?xtaOymRAGKd*R%M8&~SQ?YAO+%a7~4 z6>x;`YUuBIEq>z;4}&1?UIg4S9Nx^l_%#ER{Jq>91S*5r%b{1BdvPi?l`8!92s?S$ zc8-H(1hL98U^>w^1)UbUem{48Zk3$^+ry)_yw;f4c@}iWqRu$4GcM?ii#p?y&Uj8| zJTHt2_H-N+bnF$ho;vmlI`#@W_6j=o3Oe=*I`#@W_6j=obhla5u~*cwSH!T22ZrP+ zY{;F$hSVu+$ehB4#3^jZo5F^)Jqgt^8+p)@lq0W}o4eQws$ThGC5F~H_C(E>)t7)n z!U#Czj(|fR&p>{s68UYcmC7rtr9omk0A{2EU@AHQ=I|)wa59lYWw>Qio3Z@I!28jJ zck>SVd*R?7vHc(-~83Rn+89qS<|XFUW}t%snv^$?-)!XJ*Y zX<+z?YA1$wS}P74xw%W*SE2Xk)q}Vk#n6!%JPaBX38z7kcp4OWc^q=($z0*xABP>N zlF(i4S4blYGc=+wIU@>%VML)ej40YY;^RLHOq@wF(XN!=Xtv#IHBos?AmqUWLK;jU zWWfYN5=383+@q!})YubXn!jopEw%rK)X^9Em@`k@y1~i9f)R_yZh?KfsarD-ihu9Em@`k@y1~i9f)R z_yZh?Kfsar100FJB9TA9k@y1~i9f)R_yZh?Kfsar100DzzzP1cX&9{upbzH4cm|HW zWRJ3k+MPz^m2dggxZb_^$LARDv=AA>$eC9y`!IeP!nkJHYgO9;oJE<(UrHkQrWn`R z4MG@1yzMtTOQ&Kj;Hq2X-^FDDYb`>Q=vWG(-Z@MN(Bj{GFLG=sP@!pPYfNZ zJuzFwouKs8HYGbKJcS?XPGLjYscp*gP;?4E)SSYGl2hB1<)PpdeyBHv4dte`ZLz1| zyZK4{o-qv?tDm4StEp0FgRZQamWJ}Hf^-s$HYXdC<5EeND6eukN z)a%G_!MFjrHD(<7!q$d@+8Q0Q*a~pa?}mlLt@L7z|rR z3W`u9w1|`7^gn`C2=*c})_jTx(5W2WIutRe)^ zwlUIpYBCG67^R54sE>D^n=!SP($w z@?_01g~EzM0GZ2^)y5PGYYhRk&9npeB{mpf>~=t4ymWNner@z^F%DOv!L|sc%9qbb zyEalP)z~@D3@U4y!V#;N+NKODE0V$yYmeHd3@Yo1!VxQn+NKODtAWB1 zwXe1*gG!Yv98ub8+ZOv4d;p(CNr8aXKm4sFUKu)Ii4suib@1j>21M-=GL%{+1Vr5u z0!p0{0-|OK0i{L>0a34nfKs1?fT&eMK&eeaK-4KApwuNHAZpYU5Pm3>ZKo-q1e$dS zIGPS6kQ9)nLkLLHA>e2_lt5C3G#x@fk`4h!)1d^CGNkDc0+MtHIGPS6(3~Os;7Ze> z1d;;MbO-@S?IGZ3I+Q?ChBO^QKvH`MIGPS6kdz@!hY*m|9s-W0LkT2hNYfz%B(;a| z!!=EZ5@^m4a5NoCASobChY*m|9s-W0LkT2hNYfz%B(;Ztqv=orNg2{~2mwj$A>e2_ zl)!F=iFwP4$>ZJrp%F+5n9!j<;GjL!;}SYF0!bMrbf^!A?IDLg_UQy}{{;6nrkkG* z)x5-XAtYV85Xh4*gd|880&A!)grr0l0=f7maFI!HF+9?Njlmn>)}>X(>) zCOI-~o~UmMgKX?S>wLZzMegf1%Yw#E-Grb@l+Pfb&~z zSaXIGp)w~oVeRH%Zv*`t=tP_!QkzFtcuKTcpxCQI8 zc|wI8pHKRN^QnCgQgohUml6dQ7MJA1iR-ZRT0Fd#Sc)tr%gQORqjOtldxTwbUSZpb zSh;(R1Z$)`9>a3z?%Z6t1bQ~T?R;n_9>s8#aaPzfi9!opzEZ>%0b^e?JZgItf7gcv z|GmVYab9Lyi5NL!j2oSpcOoz3bvNQJ-*sW?Fc&)w45*4{(_79jQ|cP+Jj0d~g%%e1 zaL&oM*_fo4olUlp@CUYsZh6R^l5cv$xyfqx5)qfLyIk(3&|Adr?TVSwVu`;WbC?I+ z4uiH^gAEy=6^iSy)k3WfmUPW|De*{$n>1&cEe_?OY(08@vhZj&z39|64WjcryF3)Q z-(q$HK!(GzZ+R85V+DxP?cQJ%bi#@^o4)Ls8bRQYG3j)5DhAHWbM7}BTZqrvXPcjR3&PcVQ?8Mb8Kb)G#Su01e z!Rv130WGk|dga{-_~b~l1KW+@mNW4^FUp8N;>smAiU(IRXW8ksmU{!X1i30Y8+h&aWL zm;4Ct;!?w})w{8z@dQh+*IEIz;p=lR!2TYv4+=Wv*;IF@kTo}K+~FH8^jGQ}gufDm zVQ@>-)D7`?fVYF#v}IS=sjkav(AxED9q5X|?ZKT2()T}@W6*#DsMj#aC$j~wr9a#F z6s^Z#!x;QG-8;zl4$k)uF7^)Adk1yv&EDZ#;-J4h3ha&YYrALq5RdxXvwUV-f9u=6 z5WBQk|LLFqB-QhJJ7v9->g~qz6>Q`(IDS8Id^d4?&U)>4smyOu%-IwxNBApZ<;Yk@ m?B##i>~w#hmNEGM%S~EVPQZRXvWEgYod|NI5?;6K)_(zA(WB}B literal 0 HcmV?d00001 diff --git a/end_game/end_game.runs/impl_1/main_utilization_placed.pb b/end_game/end_game.runs/impl_1/main_utilization_placed.pb new file mode 100644 index 0000000000000000000000000000000000000000..fa383421cda84eaf5e331c203e5a75cf8a1e5814 GIT binary patch literal 276 zcmd;LGcqtV*E5{NtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- z;WU?*p+U+0DR0-@8Fp`l7#NhD_X;yKEOKiV>6+_d-z&)g1b2a?nA=h*5PPjW z1H%W$zd-Ve(@_QItVfP#RYBr&)fgB&oSp(n28LcE5P26!ZgE;_3}Uaf01^&=L8Q}B zO9qA$4wI}noI>5)okN0r&f0;L%(Z7=Sm5v!NHQ?IbperkT|pwPZXkBAH;B9oA|00c jfY_gWc-=z+T#e$LJskb~Tz%FCf<*rU$p-tQK_E5&W-3Z2 literal 0 HcmV?d00001 diff --git a/end_game/end_game.runs/impl_1/main_utilization_placed.rpt b/end_game/end_game.runs/impl_1/main_utilization_placed.rpt new file mode 100644 index 0000000..88cf9d0 --- /dev/null +++ b/end_game/end_game.runs/impl_1/main_utilization_placed.rpt @@ -0,0 +1,209 @@ +Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +----------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2017.1 (win64) Build 1846317 Fri Apr 14 18:55:03 MDT 2017 +| Date : Sat Nov 23 00:06:15 2019 +| Host : DESKTOP-I2AH2G3 running 64-bit major release (build 9200) +| Command : report_utilization -file main_utilization_placed.rpt -pb main_utilization_placed.pb +| Design : main +| Device : 7a35tcpg236-1 +| Design State : Fully Placed +----------------------------------------------------------------------------------------------------- + +Utilization Design Information + +Table of Contents +----------------- +1. Slice Logic +1.1 Summary of Registers by Type +2. Slice Logic Distribution +3. Memory +4. DSP +5. IO and GT Specific +6. Clocking +7. Specific Feature +8. Primitives +9. Black Boxes +10. Instantiated Netlists + +1. Slice Logic +-------------- + ++-------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-------------------------+------+-------+-----------+-------+ +| Slice LUTs | 163 | 0 | 20800 | 0.78 | +| LUT as Logic | 163 | 0 | 20800 | 0.78 | +| LUT as Memory | 0 | 0 | 9600 | 0.00 | +| Slice Registers | 124 | 0 | 41600 | 0.30 | +| Register as Flip Flop | 124 | 0 | 41600 | 0.30 | +| Register as Latch | 0 | 0 | 41600 | 0.00 | +| F7 Muxes | 0 | 0 | 16300 | 0.00 | +| F8 Muxes | 0 | 0 | 8150 | 0.00 | ++-------------------------+------+-------+-----------+-------+ + + +1.1 Summary of Registers by Type +-------------------------------- + ++-------+--------------+-------------+--------------+ +| Total | Clock Enable | Synchronous | Asynchronous | ++-------+--------------+-------------+--------------+ +| 0 | _ | - | - | +| 0 | _ | - | Set | +| 0 | _ | - | Reset | +| 0 | _ | Set | - | +| 0 | _ | Reset | - | +| 0 | Yes | - | - | +| 0 | Yes | - | Set | +| 0 | Yes | - | Reset | +| 8 | Yes | Set | - | +| 116 | Yes | Reset | - | ++-------+--------------+-------------+--------------+ + + +2. Slice Logic Distribution +--------------------------- + ++-------------------------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-------------------------------------------+------+-------+-----------+-------+ +| Slice | 58 | 0 | 8150 | 0.71 | +| SLICEL | 34 | 0 | | | +| SLICEM | 24 | 0 | | | +| LUT as Logic | 163 | 0 | 20800 | 0.78 | +| using O5 output only | 0 | | | | +| using O6 output only | 116 | | | | +| using O5 and O6 | 47 | | | | +| LUT as Memory | 0 | 0 | 9600 | 0.00 | +| LUT as Distributed RAM | 0 | 0 | | | +| LUT as Shift Register | 0 | 0 | | | +| LUT Flip Flop Pairs | 59 | 0 | 20800 | 0.28 | +| fully used LUT-FF pairs | 25 | | | | +| LUT-FF pairs with one unused LUT output | 32 | | | | +| LUT-FF pairs with one unused Flip Flop | 33 | | | | +| Unique Control Sets | 13 | | | | ++-------------------------------------------+------+-------+-----------+-------+ +* Note: Review the Control Sets Report for more information regarding control sets. + + +3. Memory +--------- + ++----------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++----------------+------+-------+-----------+-------+ +| Block RAM Tile | 0 | 0 | 50 | 0.00 | +| RAMB36/FIFO* | 0 | 0 | 50 | 0.00 | +| RAMB18 | 0 | 0 | 100 | 0.00 | ++----------------+------+-------+-----------+-------+ +* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 + + +4. DSP +------ + ++-----------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-----------+------+-------+-----------+-------+ +| DSPs | 0 | 0 | 90 | 0.00 | ++-----------+------+-------+-----------+-------+ + + +5. IO and GT Specific +--------------------- + ++-----------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-----------------------------+------+-------+-----------+-------+ +| Bonded IOB | 30 | 30 | 106 | 28.30 | +| IOB Master Pads | 14 | | | | +| IOB Slave Pads | 15 | | | | +| Bonded IPADs | 0 | 0 | 10 | 0.00 | +| Bonded OPADs | 0 | 0 | 4 | 0.00 | +| PHY_CONTROL | 0 | 0 | 5 | 0.00 | +| PHASER_REF | 0 | 0 | 5 | 0.00 | +| OUT_FIFO | 0 | 0 | 20 | 0.00 | +| IN_FIFO | 0 | 0 | 20 | 0.00 | +| IDELAYCTRL | 0 | 0 | 5 | 0.00 | +| IBUFDS | 0 | 0 | 104 | 0.00 | +| GTPE2_CHANNEL | 0 | 0 | 2 | 0.00 | +| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 20 | 0.00 | +| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 20 | 0.00 | +| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 250 | 0.00 | +| IBUFDS_GTE2 | 0 | 0 | 2 | 0.00 | +| ILOGIC | 0 | 0 | 106 | 0.00 | +| OLOGIC | 0 | 0 | 106 | 0.00 | ++-----------------------------+------+-------+-----------+-------+ + + +6. Clocking +----------- + ++------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++------------+------+-------+-----------+-------+ +| BUFGCTRL | 2 | 0 | 32 | 6.25 | +| BUFIO | 0 | 0 | 20 | 0.00 | +| MMCME2_ADV | 0 | 0 | 5 | 0.00 | +| PLLE2_ADV | 0 | 0 | 5 | 0.00 | +| BUFMRCE | 0 | 0 | 10 | 0.00 | +| BUFHCE | 0 | 0 | 72 | 0.00 | +| BUFR | 0 | 0 | 20 | 0.00 | ++------------+------+-------+-----------+-------+ + + +7. Specific Feature +------------------- + ++-------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-------------+------+-------+-----------+-------+ +| BSCANE2 | 0 | 0 | 4 | 0.00 | +| CAPTUREE2 | 0 | 0 | 1 | 0.00 | +| DNA_PORT | 0 | 0 | 1 | 0.00 | +| EFUSE_USR | 0 | 0 | 1 | 0.00 | +| FRAME_ECCE2 | 0 | 0 | 1 | 0.00 | +| ICAPE2 | 0 | 0 | 2 | 0.00 | +| PCIE_2_1 | 0 | 0 | 1 | 0.00 | +| STARTUPE2 | 0 | 0 | 1 | 0.00 | +| XADC | 0 | 0 | 1 | 0.00 | ++-------------+------+-------+-----------+-------+ + + +8. Primitives +------------- + ++----------+------+---------------------+ +| Ref Name | Used | Functional Category | ++----------+------+---------------------+ +| FDRE | 116 | Flop & Latch | +| LUT2 | 56 | LUT | +| LUT6 | 55 | LUT | +| LUT5 | 32 | LUT | +| LUT4 | 32 | LUT | +| LUT3 | 32 | LUT | +| OBUF | 27 | IO | +| CARRY4 | 10 | CarryLogic | +| FDSE | 8 | Flop & Latch | +| LUT1 | 3 | LUT | +| IBUF | 3 | IO | +| BUFG | 2 | Clock | ++----------+------+---------------------+ + + +9. Black Boxes +-------------- + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + +10. Instantiated Netlists +------------------------- + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + diff --git a/end_game/end_game.runs/impl_1/opt_design.pb b/end_game/end_game.runs/impl_1/opt_design.pb new file mode 100644 index 0000000000000000000000000000000000000000..2d843ef42869b44eefd3e0314a4ff21e940ed6a0 GIT binary patch literal 7079 zcmc&(U2hvj6s?mufg!3&MO#QK7*R|UtG0J$*Y?`95;O^=g{o1Ss+ENlZFk4^lJ)Mg zGtLL9Y0Z;*NjK{0 zaDUGs?S3Gp3oj4h5$&A9Ye0DU{d|I^k8W@FeP1HEwz-Ne4oHR(vjg98B@hx{2@-%f zAdu2&2%IxYl%@gMM3>PMVMQ@}1&+5Yz7rEdG`;v9EX+k@xxV1^8k&WelrWnmcsf0+ zI7w)$s1mU-h(K$RjhCaozb!Xqi6A!3^j_gumHV+a#S@Z)k{=LX2{8pXbGkO)IpJgIhgltn{nRmd)`5uLbQ=) zKu4dPurtM~K#MU#%Tshk3ZU)!F7LvkhdYrwn5A`ETnMA!2WAU;1HGf+^(YD=B|@ZR z)JS?a4!XDDF$*#?Vr>>lL5VJl)s}<}WDJRkNx;1Ta@X~Nk6xUO<-;h9VHB;rTt{wT zh}y3?G>76EGpZtvwzu3K!^?c7iS4kD?_y1B%0Gg?z|6Bk4%;0LYGuRFa2Y>h5XAvr zesm9h7BXuG9;r4O<*K2>&pMz`7$92NB#J_iR^~b?tOY*9oQYWS&(~J5aCT&kl!^NaDhNZlZRyO*v+CcBFzsn-QBH&4C1V+5Ta&YMS zFp|L2FpIO0pE8q3b_F?XW`|qzIw=v|OhfrCx;BUuxR=SsJa4#+(HJhHDdx+=e8xx- zy@PHgm=Qdf7Qe)+aTV$JnwT^i%rKmG9kO>&)YCXjFI`P7U5%P!q)SNED4VsEcD1sm zDYlo63(l_cKn&Q1iYBYkAzE-yz>yF^mh7em<7!c>C-@z!2g#OVc?#mv4F&3wMco{M zy+4^&sYx)L6cN^DYRj&hbfdR=QPfS&f8Bx8EQ=;e%pT^rNC9TGcYlTI1YB zH@05Bk?8(Bo#-YeRtm756`B+vnNWc3$pomY1o#okKiOj}%toN9lnwM*LcEUSUyU-| z(1~rw=Li%&~Z83XC}LZjp*PpY9>0U z;$!A|TZ+)nQW1ZjP7%|heR^$7bqj|>+J~OW-F3x5avvO9?nk=Wv%3&NlcVls-so(8 zh^p&g3_$CW?Jwd+{%vWpdX~GKisFr6Z_*qep_rrkGuQJl-*H21dx5M|PSH)-%6ng0HHdV)^bdzE?hNvJt`c{Q3d^@OOS@F~BXrWrGm5Hvn zTA*5q zn2nLdzKgC7=6Sxh{xyyQc-HU4Q_NBVUP^j~d6)qP(_QyNbW=3}*dbu)fNFgvWEB7l zn`#ZkY?^G6haStg*?7oocXT#zoE5QY>DhicPqnO|D{|uu$GE|JgV_H(UN)+_M?rRn z79W@#hQbq)R@E`mfzD!#JE9WKKRJZAz|n+Uh~GDWdxl>y=EHjlpGDF$0k*(Jtx+;C zf8=YA*kld^aJm)ZeTZtS&B{~GB3|i+73MqJ9jnKNAIjig^NI@yZIen5%*buXv$A8W z+Q|iYDFObM)Y#B0?Ve1kOtDnwV? zuZ6Q$vtN~7o1a3zKy#ak9P48xH+RSk&FT+Jjzzb>Jly@+Gl31gwb0JlIV7WQ150_ULq2sc6WE@<)HMfNTR zu~%Wup%<`2EOAbzHN{*hb2SG(`2l)kFSUXdyRecn(C38jxw6+Rtrl18uthXua1ZEU zt)l5`S$6+XLdtFskH#KoS5uV-Vy~Vx(e-^M`T*$>4TA_=CbIDoIBtVGrWFU#HD6-O zT;uEDHFngXcF?ff)IMht~*5UEgJGIJ75;kYFu$-c$4~1#d@D5DimN-OP$Z(Z+#CE%57zHX#r6;*g(~@C_#E zn@5-eG68tL>w{G~!k4Rp4O7X8EyAjvbA%8*%i6+X5K>_T2K616M+85)mz2_2CL}|$ zKrDmWNKS2+_c`AVA~(|A;d~;AME`fa$#lIF^d>rrtw`i^2;O6hor@H$D%#4o!2?+w zSx|x)SwQ6jUN0g^P?lA*0zb%x7u%a&a5*d&l{KYesJQ}of#%Rbn@8^=OA6q$s;GIHo}eie z56VN9(c?r`yyrs%S{@X8d1->O!$s#O_p9U|O9hU83(cri>EU&>C_Nm%q_O9+7#~4J z0F4duEo0`dQ)q9I9lIThm)P<;w!cC2R82#Z zeEVHAtH2MH!LLMf0E~l`=C0b_-1f$Z`j=_2-#nQB_cLnyr}HJjs9^B&TBf~M&u-*o*?2>Dq7UxIE_ znw)=x=6Ai|%<^vXaj{@+qixYS_eMO}W!Q&t2p^9n6`v2Ts`%*K4RP4MX08oquxlTt zO$_$JKJoKtzPvD{!5voo$J=nY!x|M$fp(yLRZiNeEwq|gk>K0R<_xH=sl=joO?l{K zV6G|S^wNR`l;&M9;1-Q7cVq(=O!u1nA_3{1j{w~mi<=lE5wF|v@hC~CpYHh)!->5r z3y-xk{#}6(g2h#SWYOfWYef= z&E^>IdqX-#(;RDc{X@ZvfmW4vF$BGR;D*$5;mUYOTQGirLFnk(K1M%HwXNg33BD?= zkF`%PoJ(r5))8{aQOEK;eAKo=3J*@-q;_O-Ne$mcF0b&KsZm-}hLz_phm17>^y%@f z8B=e9A$9Yy=8G}htrCx17blfH~@ro^;JJw{H z9e?gv8!2~8pey&gXl)?sC*GU>RHPEY9Y|V-evFn5cwZ$3wR}XCD?OdjMT)NykLi?%Uf#b@NU^$n7CWe!u<}9 zla~{kcm=bfUMy{);a)1@DT3m~VFraCm3EMtdasraZTci8Ua1A=e!9G4hPmI4>t}~G zNoFeQw*9#ur$2;JPQ|LD8Kz>@n?tUD|BWr%uh4GKfo?};HkIbm`ozop6STYyfDU+Q z>jfQuYtKui>NaiaO?!eY`L7b%h3CFKz`U?${Ne9oE8_6Fp5`6bMz#o^U2os4vqrY z>$e1nX`%wkAxohQ6ksv?3jA~QLm2^hwQUJ=`7~xdYD!%~P2u>ZKHy;(H5O7Ez!Nw_ z4Ulyb-uNWBPwmTxeBT9R2N#@Tp*LvX1XOKZc73_~@iqrmFl631&gb2KmJmJ$3@Aj7 zz*PdS1)ovs1OC#d5nxSu_yBUzAZiLmAa5fi@PJ_e_?^L~nCzqa=KAUh08ZIzJ6xr{ z(`Z>8+W$}mydhiV2-$|Z+5rqmL-?#yb!=G&_6YEo@KVp+td9}+eF>fSKxA&Pj)zPL z6#3JsqUobe8;4{~xOc=oCU`{kri3GNLq;4n58>v|B?RbL0qO+2MH~fQ1E3geWOkJC SDCZ4?peRBA2gqkRSiqX%(;y}Nt%+_QJ@1^Fde z=mmp;y=i2p`?m^F9tO$vrdP%EROKa2&&2eqr_~hAkgV3o3?##d z*@5r490-mt2MHjKw@}lrw}o@fx~63zIk}1!n?#FP7(~f|6WR1mH1rSn1gs>8&UjON zm+x|y3hqP)_A#@c#ggqPl4pm!n_cdSn;c_VG&zo#<$$5pr5UZcGK(>^o1~)2Y-)O4 z<2yWo@C&j8695SWN|;0Ap>4A`?hifhOmJQ^vw-R3$5$*)GAb}^<}iFhZu0%=yS^JA zW6;q`#0reuc<++$DD*)T1<^E!%uaSWjI8f?%z`IHtj{7&faI77cBJ#hhDJ|=U~~Dla&9x27S(l z-p=Egb3rv$-W0F*z2IaTBWil9uC>rROyBO^abq+7a0z^R5g@V)9e@~pdJfM*34HGGDpOm z^V1E<(>-^<=$-g(m)hZw-lK}r#h==yKcmdE!Wj5Y8k@91w;70WM(=E|qf5xFXLzh? z%}&EGb@VyH=Ay;a8>X%lfyhqkc{w~a8J>5^O->##dCP~SS)TjbDH0F)=2Xg|`Xzb# z2^_#3aGGQ|NlzF!@4(@0_L=~yN>y~-uYyVK9Fe{(vG8}uoYs(1X_dUQ>7LTPAPl_V=#2IC1p{T5^S0LUN)I&C&W&bS}DJeuau-^bZYPnIcSoo+3fakK~EvHHAq@Kd6k0ou~KL;pNJ8IKVUM)Q160V4ovnj*#r{t|& zH>?jsdLPm1E)$|!&J%|vhK0^HIqH5& zx+%Ubgo{&cy#_%0<$!L>fPSBRZzqTo`f-538i7nXXv>H@RG}?b1&lc!PUu3pF(+X> zx0>t!8f@WxvYK+WO>^7v8Z0d+$4*1W&JW0qGQ0mSz30gZ;YUNu8uF>W<@X>9W2d$!oV1E>9HlvVWK%Tg&mq3n}2p=E(3;1-r?{#4a)4F*RfPmzV4sxG+N7g^5{BMOrm$ zp#}?R%*x%*$!(bdikz5WEP2p+lN&RV>wK!xpvWw!R8P83TSW}g(wLiT~ zzThC_3ujc|HrA!fX`{&e3yzSIN>Lh$Avs+%5bF}^{D@T1QD$03oPSk_$fv>^L;(+p zbb82`k3yd>vZ`E3P$Fw<>Nta~y+?>B1BC%91`_ga6SLP{dmOVUUONugnC~1MSpzot zr-33b**EvcV0T7^}g#d6do`Z z>P_SEqA;QL@UYO1rg{{f7TVEPT&|>S`Z6kC|EZAMBJs^)lv`q6JP}1iQ4KvO zo`tO{Qk`w^>zx-M;rad+hY;sc zu8Q~fD=CBQ=E&rLCC7o2Ch{}X$ut*eG~vtCm&$SH0a@M%d`4rI2s!_JqbDheh>f1n zTPwA+T!H|nQ`cKrJby)gGA_SvXO<%?!y1>DVQVPEsyaU>CjR&P8KPZav!$Gq6WH`7?~pZA@`gA8P`1NO8P!VL3-I9p zJ;C|XN0939Y8|h2r}4!5i#3E_YI~(B#@nyysQl~Pe`}|;G{pJZ9OD<~pJ6;fMKOr# z9zG$U_wB@e?j~m_4h5lglor?1;Ay6%jwe>d#9FzCXNTxybNp$**yFA;%%k(l#ONH1 z=4`&~Yd~vCyf2G3zWHl_5RwBygfgxXksm$|5$-bF1Q4t4Sdle|yQ9N!#zfqbYNTe8 zW%?*&AmdSFIjl?T>gp(uyLT4iH@f5F7b(RduVyX+c|m%YeD{!!NQYuxmw)&$tF|UG zKK-)?6wCAD|FJ?`C@>V3tE@lrHW3*2T`X}lgG?D6h4W%*U33N{=)u3&2*G_g?WnVb m4B1)i#tq?}3O;Y#p`$ literal 0 HcmV?d00001 diff --git a/end_game/end_game.runs/impl_1/rundef.js b/end_game/end_game.runs/impl_1/rundef.js new file mode 100644 index 0000000..cfa3f3f --- /dev/null +++ b/end_game/end_game.runs/impl_1/rundef.js @@ -0,0 +1,40 @@ +// +// Vivado(TM) +// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6 +// Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +// + +var WshShell = new ActiveXObject( "WScript.Shell" ); +var ProcEnv = WshShell.Environment( "Process" ); +var PathVal = ProcEnv("PATH"); +if ( PathVal.length == 0 ) { + PathVal = "C:/Xilinx/SDK/2017.1/bin;C:/Xilinx/Vivado/2017.1/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2017.1/ids_lite/ISE/lib/nt64;C:/Xilinx/Vivado/2017.1/bin;"; +} else { + PathVal = "C:/Xilinx/SDK/2017.1/bin;C:/Xilinx/Vivado/2017.1/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2017.1/ids_lite/ISE/lib/nt64;C:/Xilinx/Vivado/2017.1/bin;" + PathVal; +} + +ProcEnv("PATH") = PathVal; + +var RDScrFP = WScript.ScriptFullName; +var RDScrN = WScript.ScriptName; +var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); +var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; +eval( EAInclude(ISEJScriptLib) ); + + +// pre-commands: +ISETouchFile( "init_design", "begin" ); +ISEStep( "vivado", + "-log main.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source main.tcl -notrace" ); + + + + + +function EAInclude( EAInclFilename ) { + var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); + var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); + var EAIFContents = EAInclFile.ReadAll(); + EAInclFile.Close(); + return EAIFContents; +} diff --git a/end_game/end_game.runs/impl_1/runme.bat b/end_game/end_game.runs/impl_1/runme.bat new file mode 100644 index 0000000..570480c --- /dev/null +++ b/end_game/end_game.runs/impl_1/runme.bat @@ -0,0 +1,10 @@ +@echo off + +rem Vivado (TM) +rem runme.bat: a Vivado-generated Script +rem Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. + + +set HD_SDIR=%~dp0 +cd /d "%HD_SDIR%" +cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* diff --git a/end_game/end_game.runs/impl_1/runme.log b/end_game/end_game.runs/impl_1/runme.log new file mode 100644 index 0000000..ac6b456 --- /dev/null +++ b/end_game/end_game.runs/impl_1/runme.log @@ -0,0 +1,405 @@ + +*** Running vivado + with args -log main.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source main.tcl -notrace + + +****** Vivado v2017.1 (64-bit) + **** SW Build 1846317 on Fri Apr 14 18:55:03 MDT 2017 + **** IP Build 1846188 on Fri Apr 14 20:52:08 MDT 2017 + ** Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. + +source main.tcl -notrace +Design is defaulting to srcset: sources_1 +Design is defaulting to constrset: constrs_1 +INFO: [Netlist 29-17] Analyzing 13 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-479] Netlist was created with Vivado 2017.1 +INFO: [Device 21-403] Loading part xc7a35tcpg236-1 +INFO: [Project 1-570] Preparing netlist for logic optimization +Parsing XDC File [C:/Users/hp/end_game/end_game.srcs/constrs_1/new/my_constraint.xdc] +Finished Parsing XDC File [C:/Users/hp/end_game/end_game.srcs/constrs_1/new/my_constraint.xdc] +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +link_design: Time (s): cpu = 00:00:06 ; elapsed = 00:00:07 . Memory (MB): peak = 588.727 ; gain = 286.953 +Command: opt_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a35t-cpg236' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a35t-cpg236' +Running DRC as a precondition to command opt_design + +Starting DRC Task +Command: report_drc (run_mandatory_drcs) for: opt_checks +INFO: [DRC 23-27] Running DRC with 2 threads +report_drc (run_mandatory_drcs) completed successfully +INFO: [Project 1-461] DRC finished with 0 Errors +INFO: [Project 1-462] Please refer to the DRC report (report_drc) for more information. + +Time (s): cpu = 00:00:01 ; elapsed = 00:00:00.440 . Memory (MB): peak = 599.543 ; gain = 10.816 +INFO: [Timing 38-35] Done setting XDC timing constraints. + +Starting Logic Optimization Task + +Phase 1 Retarget +INFO: [Opt 31-138] Pushed 1 inverter(s) to 8 load pin(s). +INFO: [Opt 31-49] Retargeted 0 cell(s). +Phase 1 Retarget | Checksum: 199e44df7 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.028 . Memory (MB): peak = 1154.863 ; gain = 0.000 +INFO: [Opt 31-389] Phase Retarget created 0 cells and removed 34 cells + +Phase 2 Constant propagation +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Phase 2 Constant propagation | Checksum: 1105a4869 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.040 . Memory (MB): peak = 1154.863 ; gain = 0.000 +INFO: [Opt 31-389] Phase Constant propagation created 7 cells and removed 14 cells + +Phase 3 Sweep +Phase 3 Sweep | Checksum: 1931c868d + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.047 . Memory (MB): peak = 1154.863 ; gain = 0.000 +INFO: [Opt 31-389] Phase Sweep created 0 cells and removed 0 cells + +Phase 4 BUFG optimization +Phase 4 BUFG optimization | Checksum: 1931c868d + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.052 . Memory (MB): peak = 1154.863 ; gain = 0.000 +INFO: [Opt 31-389] Phase BUFG optimization created 0 cells and removed 0 cells + +Phase 5 Shift Register Optimization +Phase 5 Shift Register Optimization | Checksum: 1931c868d + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.053 . Memory (MB): peak = 1154.863 ; gain = 0.000 +INFO: [Opt 31-389] Phase Shift Register Optimization created 0 cells and removed 0 cells + +Starting Connectivity Check Task + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1154.863 ; gain = 0.000 +Ending Logic Optimization Task | Checksum: 1931c868d + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.055 . Memory (MB): peak = 1154.863 ; gain = 0.000 + +Starting Power Optimization Task +INFO: [Pwropt 34-132] Skipping clock gating for clocks with a period < 2.00 ns. +Ending Power Optimization Task | Checksum: 132bfa9e8 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.007 . Memory (MB): peak = 1154.863 ; gain = 0.000 +21 Infos, 0 Warnings, 0 Critical Warnings and 0 Errors encountered. +opt_design completed successfully +opt_design: Time (s): cpu = 00:00:11 ; elapsed = 00:00:10 . Memory (MB): peak = 1154.863 ; gain = 566.137 +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.048 . Memory (MB): peak = 1154.863 ; gain = 0.000 +INFO: [Common 17-1381] The checkpoint 'C:/Users/hp/end_game/end_game.runs/impl_1/main_opt.dcp' has been generated. +Command: report_drc -file main_drc_opted.rpt +INFO: [DRC 23-27] Running DRC with 2 threads +INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/hp/end_game/end_game.runs/impl_1/main_drc_opted.rpt. +report_drc completed successfully +INFO: [Chipscope 16-241] No debug cores found in the current design. +Before running the implement_debug_core command, either use the Set Up Debug wizard (GUI mode) +or use the create_debug_core and connect_debug_core Tcl commands to insert debug cores into the design. +Command: place_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a35t-cpg236' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a35t-cpg236' +Command: report_drc (run_mandatory_drcs) for: incr_eco_checks +INFO: [DRC 23-27] Running DRC with 2 threads +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. +Running DRC as a precondition to command place_design +Command: report_drc (run_mandatory_drcs) for: placer_checks +INFO: [DRC 23-27] Running DRC with 2 threads +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + +Starting Placer Task +INFO: [Place 30-611] Multithreading enabled for place_design using a maximum of 2 CPUs + +Phase 1 Placer Initialization + +Phase 1.1 Placer Initialization Netlist Sorting +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.001 . Memory (MB): peak = 1154.863 ; gain = 0.000 +Phase 1.1 Placer Initialization Netlist Sorting | Checksum: 121ed6241 + +Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.003 . Memory (MB): peak = 1154.863 ; gain = 0.000 +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +Netlist sorting complete. Time (s): cpu = 00:00:00 ; elapsed = 00:00:00 . Memory (MB): peak = 1154.863 ; gain = 0.000 + +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device +INFO: [Timing 38-35] Done setting XDC timing constraints. +Phase 1.2 IO Placement/ Clock Placement/ Build Placer Device | Checksum: 1643462e3 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.669 . Memory (MB): peak = 1154.863 ; gain = 0.000 + +Phase 1.3 Build Placer Netlist Model +Phase 1.3 Build Placer Netlist Model | Checksum: 22f440c62 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.686 . Memory (MB): peak = 1154.863 ; gain = 0.000 + +Phase 1.4 Constrain Clocks/Macros +Phase 1.4 Constrain Clocks/Macros | Checksum: 22f440c62 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.688 . Memory (MB): peak = 1154.863 ; gain = 0.000 +Phase 1 Placer Initialization | Checksum: 22f440c62 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.688 . Memory (MB): peak = 1154.863 ; gain = 0.000 + +Phase 2 Global Placement +WARNING: [Place 46-30] place_design is not in timing mode. Skip physical synthesis in placer +Phase 2 Global Placement | Checksum: 1712aec50 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.870 . Memory (MB): peak = 1154.863 ; gain = 0.000 + +Phase 3 Detail Placement + +Phase 3.1 Commit Multi Column Macros +Phase 3.1 Commit Multi Column Macros | Checksum: 1712aec50 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.873 . Memory (MB): peak = 1154.863 ; gain = 0.000 + +Phase 3.2 Commit Most Macros & LUTRAMs +Phase 3.2 Commit Most Macros & LUTRAMs | Checksum: 206c29ca8 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.881 . Memory (MB): peak = 1154.863 ; gain = 0.000 + +Phase 3.3 Area Swap Optimization +Phase 3.3 Area Swap Optimization | Checksum: 28653ff41 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.888 . Memory (MB): peak = 1154.863 ; gain = 0.000 + +Phase 3.4 Pipeline Register Optimization +Phase 3.4 Pipeline Register Optimization | Checksum: 28653ff41 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:00.889 . Memory (MB): peak = 1154.863 ; gain = 0.000 + +Phase 3.5 Small Shape Detail Placement +Phase 3.5 Small Shape Detail Placement | Checksum: 2583ee500 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1154.863 ; gain = 0.000 + +Phase 3.6 Re-assign LUT pins +Phase 3.6 Re-assign LUT pins | Checksum: 2583ee500 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1154.863 ; gain = 0.000 + +Phase 3.7 Pipeline Register Optimization +Phase 3.7 Pipeline Register Optimization | Checksum: 2583ee500 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1154.863 ; gain = 0.000 +Phase 3 Detail Placement | Checksum: 2583ee500 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1154.863 ; gain = 0.000 + +Phase 4 Post Placement Optimization and Clean-Up + +Phase 4.1 Post Commit Optimization +Phase 4.1 Post Commit Optimization | Checksum: 2583ee500 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1154.863 ; gain = 0.000 + +Phase 4.2 Post Placement Cleanup +Phase 4.2 Post Placement Cleanup | Checksum: 2583ee500 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1154.863 ; gain = 0.000 + +Phase 4.3 Placer Reporting +Phase 4.3 Placer Reporting | Checksum: 2583ee500 + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1154.863 ; gain = 0.000 + +Phase 4.4 Final Placement Cleanup +Phase 4.4 Final Placement Cleanup | Checksum: 1d3680a9f + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1154.863 ; gain = 0.000 +Phase 4 Post Placement Optimization and Clean-Up | Checksum: 1d3680a9f + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1154.863 ; gain = 0.000 +Ending Placer Task | Checksum: 114cef4fc + +Time (s): cpu = 00:00:02 ; elapsed = 00:00:01 . Memory (MB): peak = 1154.863 ; gain = 0.000 +36 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +place_design completed successfully +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.061 . Memory (MB): peak = 1154.863 ; gain = 0.000 +INFO: [Common 17-1381] The checkpoint 'C:/Users/hp/end_game/end_game.runs/impl_1/main_placed.dcp' has been generated. +report_io: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.034 . Memory (MB): peak = 1154.863 ; gain = 0.000 +report_utilization: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.023 . Memory (MB): peak = 1154.863 ; gain = 0.000 +report_control_sets: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.004 . Memory (MB): peak = 1154.863 ; gain = 0.000 +Command: route_design +Attempting to get a license for feature 'Implementation' and/or device 'xc7a35t-cpg236' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a35t-cpg236' +Running DRC as a precondition to command route_design +Command: report_drc (run_mandatory_drcs) for: router_checks +INFO: [DRC 23-27] Running DRC with 2 threads +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado_Tcl 4-198] DRC finished with 0 Errors +INFO: [Vivado_Tcl 4-199] Please refer to the DRC report (report_drc) for more information. + + +Starting Routing Task +INFO: [Route 35-254] Multithreading enabled for route_design using a maximum of 2 CPUs +Checksum: PlaceDB: e7fcca7e ConstDB: 0 ShapeSum: 2cd22a7e RouteDB: 0 + +Phase 1 Build RT Design +Phase 1 Build RT Design | Checksum: 170549879 + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:14 . Memory (MB): peak = 1248.793 ; gain = 93.930 + +Phase 2 Router Initialization +INFO: [Route 35-64] No timing constraints were detected. The router will operate in resource-optimization mode. + +Phase 2.1 Fix Topology Constraints +Phase 2.1 Fix Topology Constraints | Checksum: 170549879 + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:14 . Memory (MB): peak = 1254.777 ; gain = 99.914 + +Phase 2.2 Pre Route Cleanup +Phase 2.2 Pre Route Cleanup | Checksum: 170549879 + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:14 . Memory (MB): peak = 1254.777 ; gain = 99.914 + Number of Nodes with overlaps = 0 +Phase 2 Router Initialization | Checksum: 10d7fb730 + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:15 . Memory (MB): peak = 1260.539 ; gain = 105.676 + +Phase 3 Initial Routing +Phase 3 Initial Routing | Checksum: e1814700 + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:15 . Memory (MB): peak = 1260.539 ; gain = 105.676 + +Phase 4 Rip-up And Reroute + +Phase 4.1 Global Iteration 0 + Number of Nodes with overlaps = 27 + Number of Nodes with overlaps = 0 +Phase 4.1 Global Iteration 0 | Checksum: 122ad0ef8 + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:15 . Memory (MB): peak = 1260.539 ; gain = 105.676 +Phase 4 Rip-up And Reroute | Checksum: 122ad0ef8 + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:15 . Memory (MB): peak = 1260.539 ; gain = 105.676 + +Phase 5 Delay and Skew Optimization +Phase 5 Delay and Skew Optimization | Checksum: 122ad0ef8 + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:15 . Memory (MB): peak = 1260.539 ; gain = 105.676 + +Phase 6 Post Hold Fix + +Phase 6.1 Hold Fix Iter +Phase 6.1 Hold Fix Iter | Checksum: 122ad0ef8 + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:15 . Memory (MB): peak = 1260.539 ; gain = 105.676 +Phase 6 Post Hold Fix | Checksum: 122ad0ef8 + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:15 . Memory (MB): peak = 1260.539 ; gain = 105.676 + +Phase 7 Route finalize + +Router Utilization Summary + Global Vertical Routing Utilization = 0.0762975 % + Global Horizontal Routing Utilization = 0.107236 % + Routable Net Status* + *Does not include unroutable nets such as driverless and loadless. + Run report_route_status for detailed report. + Number of Failed Nets = 0 + Number of Unrouted Nets = 0 + Number of Partially Routed Nets = 0 + Number of Node Overlaps = 0 + +Congestion Report +North Dir 1x1 Area, Max Cong = 16.2162%, No Congested Regions. +South Dir 1x1 Area, Max Cong = 25.2252%, No Congested Regions. +East Dir 1x1 Area, Max Cong = 20.5882%, No Congested Regions. +West Dir 1x1 Area, Max Cong = 27.9412%, No Congested Regions. +Phase 7 Route finalize | Checksum: 122ad0ef8 + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:15 . Memory (MB): peak = 1260.539 ; gain = 105.676 + +Phase 8 Verifying routed nets + + Verification completed successfully +Phase 8 Verifying routed nets | Checksum: 122ad0ef8 + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:15 . Memory (MB): peak = 1260.766 ; gain = 105.902 + +Phase 9 Depositing Routes +Phase 9 Depositing Routes | Checksum: ca204202 + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:15 . Memory (MB): peak = 1260.766 ; gain = 105.902 +INFO: [Route 35-16] Router Completed Successfully + +Time (s): cpu = 00:00:16 ; elapsed = 00:00:15 . Memory (MB): peak = 1260.766 ; gain = 105.902 + +Routing Is Done. +44 Infos, 1 Warnings, 0 Critical Warnings and 0 Errors encountered. +route_design completed successfully +route_design: Time (s): cpu = 00:00:18 ; elapsed = 00:00:15 . Memory (MB): peak = 1260.766 ; gain = 105.902 +Writing placer database... +Writing XDEF routing. +Writing XDEF routing logical nets. +Writing XDEF routing special nets. +Write XDEF Complete: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.072 . Memory (MB): peak = 1260.766 ; gain = 0.000 +INFO: [Common 17-1381] The checkpoint 'C:/Users/hp/end_game/end_game.runs/impl_1/main_routed.dcp' has been generated. +Command: report_drc -file main_drc_routed.rpt -pb main_drc_routed.pb -rpx main_drc_routed.rpx +INFO: [DRC 23-27] Running DRC with 2 threads +INFO: [Coretcl 2-168] The results of DRC are in file C:/Users/hp/end_game/end_game.runs/impl_1/main_drc_routed.rpt. +report_drc completed successfully +Command: report_methodology -file main_methodology_drc_routed.rpt -rpx main_methodology_drc_routed.rpx +INFO: [Timing 38-35] Done setting XDC timing constraints. +INFO: [DRC 23-133] Running Methodology with 2 threads +INFO: [Coretcl 2-1520] The results of Report Methodology are in file C:/Users/hp/end_game/end_game.runs/impl_1/main_methodology_drc_routed.rpt. +report_methodology completed successfully +Command: report_power -file main_power_routed.rpt -pb main_power_summary_routed.pb -rpx main_power_routed.rpx +WARNING: [Power 33-232] No user defined clocks were found in the design! +Resolution: Please specify clocks using create_clock/create_generated_clock for sequential elements. For pure combinatorial circuits, please specify a virtual clock, otherwise the vectorless estimation might be inaccurate +INFO: [Timing 38-35] Done setting XDC timing constraints. +Running Vector-less Activity Propagation... + +Finished Running Vector-less Activity Propagation +51 Infos, 2 Warnings, 0 Critical Warnings and 0 Errors encountered. +report_power completed successfully +INFO: [Timing 38-91] UpdateTimingParams: Speed grade: -1, Delay Type: min_max. +INFO: [Timing 38-191] Multithreading enabled for timing update using a maximum of 2 CPUs +WARNING: [Timing 38-313] There are no user specified timing constraints. Timing constraints are needed for proper timing analysis. +Command: write_bitstream -force main.bit +Attempting to get a license for feature 'Implementation' and/or device 'xc7a35t-cpg236' +INFO: [Common 17-349] Got license for feature 'Implementation' and/or device 'xc7a35t-cpg236' +Running DRC as a precondition to command write_bitstream +Command: report_drc (run_mandatory_drcs) for: bitstream_checks +INFO: [DRC 23-27] Running DRC with 2 threads +WARNING: [DRC CFGBVS-1] Missing CFGBVS and CONFIG_VOLTAGE Design Properties: Neither the CFGBVS nor CONFIG_VOLTAGE voltage property is set in the current_design. Configuration bank voltage select (CFGBVS) must be set to VCCO or GND, and CONFIG_VOLTAGE must be set to the correct configuration voltage, in order to determine the I/O voltage support for the pins in bank 0. It is suggested to specify these either using the 'Edit Device Properties' function in the GUI or directly in the XDC file using the following syntax: + + set_property CFGBVS value1 [current_design] + #where value1 is either VCCO or GND + + set_property CONFIG_VOLTAGE value2 [current_design] + #where value2 is the voltage provided to configuration bank 0 + +Refer to the device configuration user guide for more information. +report_drc (run_mandatory_drcs) completed successfully +INFO: [Vivado 12-3199] DRC finished with 0 Errors, 1 Warnings +INFO: [Vivado 12-3200] Please refer to the DRC report (report_drc) for more information. +INFO: [Project 1-821] Please set project.enableDesignId to be 'true'. +INFO: [Designutils 20-2272] Running write_bitstream with 2 threads. +Loading data files... +Loading site data... +Loading route data... +Processing options... +Creating bitmap... +Creating bitstream... +Writing bitstream ./main.bit... +INFO: [Vivado 12-1842] Bitgen Completed Successfully. +INFO: [Project 1-118] WebTalk data collection is enabled (User setting is ON. Install Setting is ON.). +61 Infos, 4 Warnings, 0 Critical Warnings and 0 Errors encountered. +write_bitstream completed successfully +write_bitstream: Time (s): cpu = 00:00:11 ; elapsed = 00:00:11 . Memory (MB): peak = 1702.137 ; gain = 405.188 +INFO: [Common 17-206] Exiting Vivado at Sat Nov 23 00:06:44 2019... diff --git a/end_game/end_game.runs/impl_1/runme.sh b/end_game/end_game.runs/impl_1/runme.sh new file mode 100644 index 0000000..67a85ca --- /dev/null +++ b/end_game/end_game.runs/impl_1/runme.sh @@ -0,0 +1,47 @@ +#!/bin/sh + +# +# Vivado(TM) +# runme.sh: a Vivado-generated Runs Script for UNIX +# Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +# + +echo "This script was generated under a different operating system." +echo "Please update the PATH and LD_LIBRARY_PATH variables below, before executing this script" +exit + +if [ -z "$PATH" ]; then + PATH=C:/Xilinx/SDK/2017.1/bin;C:/Xilinx/Vivado/2017.1/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2017.1/ids_lite/ISE/lib/nt64:C:/Xilinx/Vivado/2017.1/bin +else + PATH=C:/Xilinx/SDK/2017.1/bin;C:/Xilinx/Vivado/2017.1/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2017.1/ids_lite/ISE/lib/nt64:C:/Xilinx/Vivado/2017.1/bin:$PATH +fi +export PATH + +if [ -z "$LD_LIBRARY_PATH" ]; then + LD_LIBRARY_PATH= +else + LD_LIBRARY_PATH=:$LD_LIBRARY_PATH +fi +export LD_LIBRARY_PATH + +HD_PWD='C:/Users/hp/end_game/end_game.runs/impl_1' +cd "$HD_PWD" + +HD_LOG=runme.log +/bin/touch $HD_LOG + +ISEStep="./ISEWrap.sh" +EAStep() +{ + $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 + if [ $? -ne 0 ] + then + exit + fi +} + +# pre-commands: +/bin/touch .init_design.begin.rst +EAStep vivado -log main.vdi -applog -m64 -product Vivado -messageDb vivado.pb -mode batch -source main.tcl -notrace + + diff --git a/end_game/end_game.runs/impl_1/usage_statistics_webtalk.html b/end_game/end_game.runs/impl_1/usage_statistics_webtalk.html new file mode 100644 index 0000000..dc1b8ca --- /dev/null +++ b/end_game/end_game.runs/impl_1/usage_statistics_webtalk.html @@ -0,0 +1,694 @@ +Device Usage Statistics Report +

Device Usage Page (usage_statistics_webtalk.html)

This HTML page displays the device usage statistics that will be sent to Xilinx.
To see the actual file transmitted to Xilinx, please click
here.


+ + + + + + + + + + + + + + + + + +
software_version_and_target_device
betaFALSEbuild_version1846317
date_generatedSat Nov 23 00:06:43 2019os_platformWIN64
product_versionVivado v2017.1 (64-bit)project_idbbee60fc56e8409db2cdf5ab01427605
project_iteration4random_ida20516f5-6568-4922-95cb-fd63966b3ff7
registration_id211458424_1777531420_210673176_760route_designTRUE
target_devicexc7a35ttarget_familyartix7
target_packagecpg236target_speed-1
tool_flowVivado

+ + + + + + + + +
user_environment
cpu_nameIntel(R) Core(TM) i7-6700 CPU @ 3.40GHzcpu_speed3408 MHz
os_nameMicrosoft Windows 8 or later , 64-bitos_releasemajor release (build 9200)
system_ram17.000 GBtotal_processors1

+ + +
vivado_usage
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
gui_resources
addsrcwizard_specify_or_create_constraint_files=1addsrcwizard_specify_simulation_specific_hdl_files=1basedialog_cancel=10basedialog_ok=14
constraintschooserpanel_create_file=1createconstraintsfilepanel_file_name=1filesetpanel_file_set_panel_tree=100flownavigatortreepanel_flow_navigator_tree=75
graphicalview_zoom_fit=8graphicalview_zoom_in=32graphicalview_zoom_out=17hcodeeditor_blank_operations=17
hcodeeditor_commands_to_fold_text=7hcodeeditor_diff_with=13mainmenumgr_file=2pacommandnames_add_sources=1
pacommandnames_auto_connect_target=3pacommandnames_auto_update_hier=2pacommandnames_open_project=1pacommandnames_simulation_run_behavioral=16
pacommandnames_toggle_view_nav=5paviews_code=10planaheadtab_show_flow_navigator=5programdebugtab_open_recently_opened_target=1
programfpgadialog_program=5progressdialog_cancel=1rdicommands_cut=1rdiviews_waveform_viewer=22
saveprojectutils_save=1srcmenu_ip_hierarchy=4stalerundialog_open_design=1syntheticagettingstartedview_recent_projects=2
syntheticastatemonitor_cancel=2taskbanner_close=3tclconsoleview_tcl_console_code_editor=2
+ + + + + + + + + + + + + + + + + + + + + +
java_command_handlers
addsources=1autoconnecttarget=3closeproject=1editundo=1
launchprogramfpga=5openhardwaremanager=9openproject=1openrecenttarget=3
programdevice=5runbitgen=9runschematic=1runsynthesis=4
savefileproxyhandler=1showview=1simulationrun=16toggleviewnavigator=5
viewtaskimplementation=1viewtasksynthesis=1waveformsaveconfiguration=1
+ + + +
other_data
guimode=3
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
project_data
constraintsetcount=1core_container=falsecurrentimplrun=impl_1currentsynthesisrun=synth_1
default_library=xil_defaultlibdesignmode=RTLexport_simulation_activehdl=0export_simulation_ies=0
export_simulation_modelsim=0export_simulation_questa=0export_simulation_riviera=0export_simulation_vcs=0
export_simulation_xsim=0implstrategy=Vivado Implementation Defaultslaunch_simulation_activehdl=0launch_simulation_ies=0
launch_simulation_modelsim=0launch_simulation_questa=0launch_simulation_riviera=0launch_simulation_vcs=0
launch_simulation_xsim=20simulator_language=Verilogsrcsetcount=9synthesisstrategy=Vivado Synthesis Defaults
target_language=Verilogtarget_simulator=XSimtotalimplruns=1totalsynthesisruns=1
+
+ + + + +
unisim_transformation
+ + + + + + + + + + + + + + + + +
post_unisim_transformation
bufg=2carry4=10fdre=119fdse=8
gnd=6ibuf=3lut1=36lut2=55
lut3=33lut4=31lut5=36lut6=57
obuf=27vcc=3
+
+ + + + + + + + + + + + + + + + +
pre_unisim_transformation
bufg=2carry4=10fdre=119fdse=8
gnd=6ibuf=3lut1=36lut2=55
lut3=33lut4=31lut5=36lut6=57
obuf=27vcc=3
+

+ + + + +
report_drc
+ + + + + + + + + + + + + +
command_line_options
-append=default::[not_specified]-checks=default::[not_specified]-fail_on=default::[not_specified]-force=default::[not_specified]
-format=default::[not_specified]-messages=default::[not_specified]-name=default::[not_specified]-return_string=default::[not_specified]
-ruledecks=default::[not_specified]-upgrade_cw=default::[not_specified]-waived=default::[not_specified]
+
+ + + +
results
cfgbvs-1=1
+

+ + + + +
report_methodology
+ + + + + + + + + + + +
command_line_options
-append=default::[not_specified]-checks=default::[not_specified]-fail_on=default::[not_specified]-force=default::[not_specified]
-format=default::[not_specified]-messages=default::[not_specified]-name=default::[not_specified]-return_string=default::[not_specified]
-waived=default::[not_specified]
+
+ + + +
results
timing-17=124
+

+ + + + +
report_power
+ + + + + + + + + + + + + + + +
command_line_options
-advisory=default::[not_specified]-append=default::[not_specified]-file=[specified]-format=default::text
-hier=default::power-l=default::[not_specified]-name=default::[not_specified]-no_propagation=default::[not_specified]
-return_string=default::[not_specified]-rpx=[specified]-verbose=default::[not_specified]-vid=default::[not_specified]
-xpe=default::[not_specified]
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
usage
airflow=250 (LFM)ambient_temp=25.0 (C)bi-dir_toggle=12.500000bidir_output_enable=1.000000
board_layers=12to15 (12 to 15 Layers)board_selection=medium (10"x10")confidence_level_clock_activity=Lowconfidence_level_design_state=High
confidence_level_device_models=Highconfidence_level_internal_activity=Mediumconfidence_level_io_activity=Lowconfidence_level_overall=Low
customer=TBDcustomer_class=TBDdevstatic=0.104141die=xc7a35tcpg236-1
dsp_output_toggle=12.500000dynamic=6.861038effective_thetaja=5.0enable_probability=0.990000
family=artix7ff_toggle=12.500000flow_state=routedheatsink=medium (Medium Profile)
i/o=6.171810input_toggle=12.500000junction_temp=59.8 (C)logic=0.345639
mgtavcc_dynamic_current=0.000000mgtavcc_static_current=0.000000mgtavcc_total_current=0.000000mgtavcc_voltage=1.000000
mgtavtt_dynamic_current=0.000000mgtavtt_static_current=0.000000mgtavtt_total_current=0.000000mgtavtt_voltage=1.200000
netlist_net_matched=NAoff-chip_power=0.000000on-chip_power=6.965179output_enable=1.000000
output_load=5.000000output_toggle=12.500000package=cpg236pct_clock_constrained=1.000000
pct_inputs_defined=0platform=nt64process=typicalram_enable=50.000000
ram_write=50.000000read_saif=Falseset/reset_probability=0.000000signal_rate=False
signals=0.343589simulation_file=Nonespeedgrade=-1static_prob=False
temp_grade=commercialthetajb=7.5 (C/W)thetasa=4.6 (C/W)toggle_rate=False
user_board_temp=25.0 (C)user_effective_thetaja=5.0user_junc_temp=59.8 (C)user_thetajb=7.5 (C/W)
user_thetasa=4.6 (C/W)vccadc_dynamic_current=0.000000vccadc_static_current=0.020000vccadc_total_current=0.020000
vccadc_voltage=1.800000vccaux_dynamic_current=0.225856vccaux_io_dynamic_current=0.000000vccaux_io_static_current=0.000000
vccaux_io_total_current=0.000000vccaux_io_voltage=1.800000vccaux_static_current=0.015387vccaux_total_current=0.241244
vccaux_voltage=1.800000vccbram_dynamic_current=0.000000vccbram_static_current=0.000701vccbram_total_current=0.000701
vccbram_voltage=1.000000vccint_dynamic_current=0.697548vccint_static_current=0.036443vccint_total_current=0.733991
vccint_voltage=1.000000vcco12_dynamic_current=0.000000vcco12_static_current=0.000000vcco12_total_current=0.000000
vcco12_voltage=1.200000vcco135_dynamic_current=0.000000vcco135_static_current=0.000000vcco135_total_current=0.000000
vcco135_voltage=1.350000vcco15_dynamic_current=0.000000vcco15_static_current=0.000000vcco15_total_current=0.000000
vcco15_voltage=1.500000vcco18_dynamic_current=0.000000vcco18_static_current=0.000000vcco18_total_current=0.000000
vcco18_voltage=1.800000vcco25_dynamic_current=0.000000vcco25_static_current=0.000000vcco25_total_current=0.000000
vcco25_voltage=2.500000vcco33_dynamic_current=1.744530vcco33_static_current=0.001000vcco33_total_current=1.745530
vcco33_voltage=3.300000version=2017.1
+

+ + + + + + + + + +
report_utilization
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
clocking
bufgctrl_available=32bufgctrl_fixed=0bufgctrl_used=2bufgctrl_util_percentage=6.25
bufhce_available=72bufhce_fixed=0bufhce_used=0bufhce_util_percentage=0.00
bufio_available=20bufio_fixed=0bufio_used=0bufio_util_percentage=0.00
bufmrce_available=10bufmrce_fixed=0bufmrce_used=0bufmrce_util_percentage=0.00
bufr_available=20bufr_fixed=0bufr_used=0bufr_util_percentage=0.00
mmcme2_adv_available=5mmcme2_adv_fixed=0mmcme2_adv_used=0mmcme2_adv_util_percentage=0.00
plle2_adv_available=5plle2_adv_fixed=0plle2_adv_used=0plle2_adv_util_percentage=0.00
+
+ + + + + + +
dsp
dsps_available=90dsps_fixed=0dsps_used=0dsps_util_percentage=0.00
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
io_standard
blvds_25=0diff_hstl_i=0diff_hstl_i_18=0diff_hstl_ii=0
diff_hstl_ii_18=0diff_hsul_12=0diff_mobile_ddr=0diff_sstl135=0
diff_sstl135_r=0diff_sstl15=0diff_sstl15_r=0diff_sstl18_i=0
diff_sstl18_ii=0hstl_i=0hstl_i_18=0hstl_ii=0
hstl_ii_18=0hsul_12=0lvcmos12=0lvcmos15=0
lvcmos18=0lvcmos25=0lvcmos33=1lvds_25=0
lvttl=0mini_lvds_25=0mobile_ddr=0pci33_3=0
ppds_25=0rsds_25=0sstl135=0sstl135_r=0
sstl15=0sstl15_r=0sstl18_i=0sstl18_ii=0
tmds_33=0
+
+ + + + + + + + + + + + + + +
memory
block_ram_tile_available=50block_ram_tile_fixed=0block_ram_tile_used=0block_ram_tile_util_percentage=0.00
ramb18_available=100ramb18_fixed=0ramb18_used=0ramb18_util_percentage=0.00
ramb36_fifo_available=50ramb36_fifo_fixed=0ramb36_fifo_used=0ramb36_fifo_util_percentage=0.00
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + +
primitives
bufg_functional_category=Clockbufg_used=2carry4_functional_category=CarryLogiccarry4_used=10
fdre_functional_category=Flop & Latchfdre_used=116fdse_functional_category=Flop & Latchfdse_used=8
ibuf_functional_category=IOibuf_used=3lut1_functional_category=LUTlut1_used=3
lut2_functional_category=LUTlut2_used=56lut3_functional_category=LUTlut3_used=32
lut4_functional_category=LUTlut4_used=32lut5_functional_category=LUTlut5_used=32
lut6_functional_category=LUTlut6_used=55obuf_functional_category=IOobuf_used=27
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
slice_logic
f7_muxes_available=16300f7_muxes_fixed=0f7_muxes_used=0f7_muxes_util_percentage=0.00
f8_muxes_available=8150f8_muxes_fixed=0f8_muxes_used=0f8_muxes_util_percentage=0.00
lut_as_logic_available=20800lut_as_logic_fixed=0lut_as_logic_used=163lut_as_logic_util_percentage=0.78
lut_as_memory_available=9600lut_as_memory_fixed=0lut_as_memory_used=0lut_as_memory_util_percentage=0.00
register_as_flip_flop_available=41600register_as_flip_flop_fixed=0register_as_flip_flop_used=124register_as_flip_flop_util_percentage=0.30
register_as_latch_available=41600register_as_latch_fixed=0register_as_latch_used=0register_as_latch_util_percentage=0.00
slice_luts_available=20800slice_luts_fixed=0slice_luts_used=163slice_luts_util_percentage=0.78
slice_registers_available=41600slice_registers_fixed=0slice_registers_used=124slice_registers_util_percentage=0.30
fully_used_lut_ff_pairs_fixed=0.30fully_used_lut_ff_pairs_used=25lut_as_distributed_ram_fixed=0lut_as_distributed_ram_used=0
lut_as_logic_available=20800lut_as_logic_fixed=0lut_as_logic_used=163lut_as_logic_util_percentage=0.78
lut_as_memory_available=9600lut_as_memory_fixed=0lut_as_memory_used=0lut_as_memory_util_percentage=0.00
lut_as_shift_register_fixed=0lut_as_shift_register_used=0lut_ff_pairs_with_one_unused_flip_flop_fixed=0lut_ff_pairs_with_one_unused_flip_flop_used=33
lut_ff_pairs_with_one_unused_lut_output_fixed=33lut_ff_pairs_with_one_unused_lut_output_used=32lut_flip_flop_pairs_available=20800lut_flip_flop_pairs_fixed=0
lut_flip_flop_pairs_used=59lut_flip_flop_pairs_util_percentage=0.28slice_available=8150slice_fixed=0
slice_used=58slice_util_percentage=0.71slicel_fixed=0slicel_used=34
slicem_fixed=0slicem_used=24unique_control_sets_used=13using_o5_and_o6_fixed=13
using_o5_and_o6_used=47using_o5_output_only_fixed=47using_o5_output_only_used=0using_o6_output_only_fixed=0
using_o6_output_only_used=116
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
specific_feature
bscane2_available=4bscane2_fixed=0bscane2_used=0bscane2_util_percentage=0.00
capturee2_available=1capturee2_fixed=0capturee2_used=0capturee2_util_percentage=0.00
dna_port_available=1dna_port_fixed=0dna_port_used=0dna_port_util_percentage=0.00
efuse_usr_available=1efuse_usr_fixed=0efuse_usr_used=0efuse_usr_util_percentage=0.00
frame_ecce2_available=1frame_ecce2_fixed=0frame_ecce2_used=0frame_ecce2_util_percentage=0.00
icape2_available=2icape2_fixed=0icape2_used=0icape2_util_percentage=0.00
pcie_2_1_available=1pcie_2_1_fixed=0pcie_2_1_used=0pcie_2_1_util_percentage=0.00
startupe2_available=1startupe2_fixed=0startupe2_used=0startupe2_util_percentage=0.00
xadc_available=1xadc_fixed=0xadc_used=0xadc_util_percentage=0.00
+

+ + + +
router
+ + + + + + + + + + + + + + + + + + + + + + + + + +
usage
actual_expansions=340619bogomips=0bram18=0bram36=0
bufg=0bufr=0ctrls=13dsp=0
effort=2estimated_expansions=295698ff=124global_clocks=2
high_fanout_nets=0iob=30lut=164movable_instances=385
nets=427pins=1912pll=0router_runtime=0.000000
router_timing_driven=1threads=2timing_constraints_exist=1
+

+ + + + +
synthesis
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
command_line_options
-assert=default::[not_specified]-bufg=default::12-cascade_dsp=default::auto-constrset=default::[not_specified]
-control_set_opt_threshold=default::auto-directive=default::default-fanout_limit=default::10000-flatten_hierarchy=default::rebuilt
-fsm_extraction=default::auto-gated_clock_conversion=default::off-generic=default::[not_specified]-include_dirs=default::[not_specified]
-keep_equivalent_registers=default::[not_specified]-max_bram=default::-1-max_bram_cascade_height=default::-1-max_dsp=default::-1
-max_uram=default::-1-max_uram_cascade_height=default::-1-mode=default::default-name=default::[not_specified]
-no_lc=default::[not_specified]-no_srlextract=default::[not_specified]-no_timing_driven=default::[not_specified]-part=xc7a35tcpg236-1
-resource_sharing=default::auto-retiming=default::[not_specified]-rtl=default::[not_specified]-rtl_skip_constraints=default::[not_specified]
-rtl_skip_ip=default::[not_specified]-seu_protect=default::none-sfcu=default::[not_specified]-shreg_min_size=default::3
-top=main-verilog_define=default::[not_specified]
+
+ + + + + + +
usage
elapsed=00:00:26shls_ip=0memory_gain=482.645MBmemory_peak=776.656MB
+

+ + + +
xsim
+ + + + +
command_line_options
-sim_mode=default::behavioral-sim_type=default::
+

+ + diff --git a/end_game/end_game.runs/impl_1/usage_statistics_webtalk.xml b/end_game/end_game.runs/impl_1/usage_statistics_webtalk.xml new file mode 100644 index 0000000..c2a4706 --- /dev/null +++ b/end_game/end_game.runs/impl_1/usage_statistics_webtalk.xml @@ -0,0 +1,623 @@ + + +
+
+ + + + + + + + + + + + + + + +
+
+ + + + + + +
+
+
+ + + + + + + + + + + +
+
+ +
+
+
+
+ + + + + + + + + +
+
+ +
+
+
+
+ + + + + + + + + + + + + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + + + + + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
+
+ + + + + + + + + + + + + + + + + + + + + + + +
+
+
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + +
+
+
+
+ + + + + + + + + + + + + + +
+
+ + + + + + + + + + + + + + +
+
+
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+ + + + + + + + + + + + + + + + + + + +
+
+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + +
+
+
+
+ + +
+
+
+
diff --git a/end_game/end_game.runs/impl_1/vivado.jou b/end_game/end_game.runs/impl_1/vivado.jou new file mode 100644 index 0000000..b6966b5 --- /dev/null +++ b/end_game/end_game.runs/impl_1/vivado.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Vivado v2017.1 (64-bit) +# SW Build 1846317 on Fri Apr 14 18:55:03 MDT 2017 +# IP Build 1846188 on Fri Apr 14 20:52:08 MDT 2017 +# Start of session at: Sat Nov 23 00:05:51 2019 +# Process ID: 4936 +# Current directory: C:/Users/hp/end_game/end_game.runs/impl_1 +# Command line: vivado.exe -log main.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source main.tcl -notrace +# Log file: C:/Users/hp/end_game/end_game.runs/impl_1/main.vdi +# Journal file: C:/Users/hp/end_game/end_game.runs/impl_1\vivado.jou +#----------------------------------------------------------- +source main.tcl -notrace diff --git a/end_game/end_game.runs/impl_1/vivado.pb b/end_game/end_game.runs/impl_1/vivado.pb new file mode 100644 index 0000000000000000000000000000000000000000..7ab450d5c7c13b9b7533b51e0aaa3488447f2a79 GIT binary patch literal 149 zcmd;TVB`{Ut;j6N%u82LEmlY@0g`%pdRzjmQp`pMW?F2?`MJ6Ic}7xPY+;#Yi7EL; z>?x^fiKRIuRxpN<4wpnQP@`XdnSznAf`NgRfti(wiGq=Vp(Up3C^kcLU7*Ps3a+1emz^xVT*NQWSzxlkh-E1OLT(jNWevMyGiANIotXtw z^{H=t>vMlXe@I{Yr+Q{~ZPwT=$wMU`?D5RGeCIpgIUpCUw1eT0`fdxaNtDm6W^0w= zopXLp-9R}Wsh~#}f-BZL;YupO=n$9sfp8ceQtp@Glq|cfPa{vY#vhIst0mj6oZbp^ zqulSATlp;dGlRZ+tP~rDiu(hs0z6;}Q|xhv`I2EUiTjMINHDy2UwZAgdFo_X}?k!n3XaDZFh6Z*jC3qd7vGN0IMqBzKS6m`Xr06wC>Hmunz2z8WL| zF{x_Ku2i>+cS4W)k53tO$rtFuYjvH2%(6{N`e7QHpKoGJ7u5s{1EG4ZaBx9HeoqHN zRUj_)k=)SLZs7@`dd?|x&g2~bvphOP57L=maCHh9Vs#44bb-H%t65SlE`S?y4`s+a zo1{`Ay4Vvm|6W4BEC4BRJ-o{#ANcrC1R)cO zGuguX4E!?z?%DXE52U^J@I3Go9Wbbnd3lMs#1h!gp-%A7iG%ptHZbIWL84*Nyi8yn{*LPReQG zZ&Sw@2v?^VKrbpLhTLZ+?C#dVRajA|3vRkt8x6TH^-~kO1u1nQTSz(@4472R)z)Ol z9NxdwwxItLAR|))ZDM^7s0^5!_P@e>9rq*OF&t0e>%8jflwGdV@BrKx)$e!PxX(R? zuT1s>&kHVek>w>Q#;Apm2Cg@$OH%uD>P5`PZ>J0M1HxZiK(QH4euspTs8g`dn4IR2 zc}i|DO*B>-gK^a%z;o`#uuaz~1{k3u){kqXpCy_(eKV49VGw~CQ>9@5iEtkpacF2( zUX%Hy;sU4%DWF^VhpTz`_{$-Hc?goBm1ee;nwjI`3;`vYnlLx$#jNY&T(wjsX<6!{ds+(n+~@KXoF>Yk6(MJDTeY<&buwrjdi7!VxhaRIqT9HOwdyn$~&70_-(KY8o>4OmwqV(Vo{c%fQr6ql3B$BTBU zNpyiGg2&OuSVXVQfH7=9KO?B+IM7DTDt-{_Q>3^j|4mz$iB%$`p$ju{_AR>qG@!0- zJD35~G^H$;!Ez2NLmKv!Wdnk3*K6t8euM6fO-NWpjGdH)%3KRm^E?%V2%=dNWUh&N zItVavC<0Anok{?EOyFPT0gJ^P6E(YNX6PZTw&SauOdsw9@0N$cc-@qEDL!aD&!I?bH+IH$Sd5BPX>p#~!3--Ho zWKYne9j*qe#$8`3>UsFZ)bWP#yY_0Gpe38!j7-%ozu zX%U=}+uyRNyCz@qA;Sf^(ZWs`;jcArUt;FbP=W%RuVws-O%WA=&JrCR)f3+JHDchCC9Lt}f<*HRH+s)?e dkLKS6h!)thGFpiz-d%+DU|~Fh4P3bS%s>4M1kL~e literal 0 HcmV?d00001 diff --git a/end_game/end_game.runs/synth_1/.Xil/main_propImpl.xdc b/end_game/end_game.runs/synth_1/.Xil/main_propImpl.xdc new file mode 100644 index 0000000..78bce9d --- /dev/null +++ b/end_game/end_game.runs/synth_1/.Xil/main_propImpl.xdc @@ -0,0 +1,61 @@ +set_property SRC_FILE_INFO {cfile:C:/Users/hp/end_game/end_game.srcs/constrs_1/new/my_constraint.xdc rfile:../../../end_game.srcs/constrs_1/new/my_constraint.xdc id:1} [current_design] +set_property src_info {type:XDC file:1 line:2 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN W5 [get_ports clk] +set_property src_info {type:XDC file:1 line:7 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN W7 [get_ports {data[6]}] +set_property src_info {type:XDC file:1 line:9 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN W6 [get_ports {data[5]}] +set_property src_info {type:XDC file:1 line:11 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN U8 [get_ports {data[4]}] +set_property src_info {type:XDC file:1 line:13 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN V8 [get_ports {data[3]}] +set_property src_info {type:XDC file:1 line:15 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN U5 [get_ports {data[2]}] +set_property src_info {type:XDC file:1 line:17 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN V5 [get_ports {data[1]}] +set_property src_info {type:XDC file:1 line:19 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN U7 [get_ports {data[0]}] +set_property src_info {type:XDC file:1 line:22 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN U2 [get_ports {anode[0]}] +set_property src_info {type:XDC file:1 line:24 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN U4 [get_ports {anode[1]}] +set_property src_info {type:XDC file:1 line:26 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN V4 [get_ports {anode[2]}] +set_property src_info {type:XDC file:1 line:28 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN W4 [get_ports {anode[3]}] +set_property src_info {type:XDC file:1 line:31 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN U16 [get_ports {datamem_address[0]}] +set_property src_info {type:XDC file:1 line:33 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN E19 [get_ports {datamem_address[1]}] +set_property src_info {type:XDC file:1 line:35 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN U19 [get_ports {datamem_address[2]}] +set_property src_info {type:XDC file:1 line:37 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN V19 [get_ports {datamem_address[3]}] +set_property src_info {type:XDC file:1 line:39 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN W18 [get_ports {datamem_address[4]}] +set_property src_info {type:XDC file:1 line:41 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN U15 [get_ports {datamem_address[5]}] +set_property src_info {type:XDC file:1 line:43 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN U14 [get_ports {datamem_address[6]}] +set_property src_info {type:XDC file:1 line:45 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN V14 [get_ports {datamem_address[7]}] +set_property src_info {type:XDC file:1 line:47 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN V13 [get_ports {idata[0]}] +set_property src_info {type:XDC file:1 line:49 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN V3 [get_ports {idata[1]}] +set_property src_info {type:XDC file:1 line:51 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN W3 [get_ports {idata[2]}] +set_property src_info {type:XDC file:1 line:53 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN U3 [get_ports {idata[3]}] +set_property src_info {type:XDC file:1 line:55 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN P3 [get_ports {idata[4]}] +set_property src_info {type:XDC file:1 line:57 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN N3 [get_ports {idata[5]}] +set_property src_info {type:XDC file:1 line:59 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN P1 [get_ports {idata[6]}] +set_property src_info {type:XDC file:1 line:61 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN L1 [get_ports {idata[7]}] +set_property src_info {type:XDC file:1 line:65 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN T18 [get_ports interrupt] +set_property src_info {type:XDC file:1 line:71 export:INPUT save:INPUT read:READ} [current_design] +set_property PACKAGE_PIN V16 [get_ports reset] diff --git a/end_game/end_game.runs/synth_1/ISEWrap.js b/end_game/end_game.runs/synth_1/ISEWrap.js new file mode 100644 index 0000000..8284d2d --- /dev/null +++ b/end_game/end_game.runs/synth_1/ISEWrap.js @@ -0,0 +1,244 @@ +// +// Vivado(TM) +// ISEWrap.js: Vivado Runs Script for WSH 5.1/5.6 +// Copyright 1986-1999, 2001-2013,2015 Xilinx, Inc. All Rights Reserved. +// + +// GLOBAL VARIABLES +var ISEShell = new ActiveXObject( "WScript.Shell" ); +var ISEFileSys = new ActiveXObject( "Scripting.FileSystemObject" ); +var ISERunDir = ""; +var ISELogFile = "runme.log"; +var ISELogFileStr = null; +var ISELogEcho = true; +var ISEOldVersionWSH = false; + + + +// BOOTSTRAP +ISEInit(); + + + +// +// ISE FUNCTIONS +// +function ISEInit() { + + // 1. RUN DIR setup + var ISEScrFP = WScript.ScriptFullName; + var ISEScrN = WScript.ScriptName; + ISERunDir = + ISEScrFP.substr( 0, ISEScrFP.length - ISEScrN.length - 1 ); + + // 2. LOG file setup + ISELogFileStr = ISEOpenFile( ISELogFile ); + + // 3. LOG echo? + var ISEScriptArgs = WScript.Arguments; + for ( var loopi=0; loopi> " + ISELogFile + " 2>&1"; + ISEExitCode = ISEShell.Run( ISECmdLine, 0, true ); + ISELogFileStr = ISEOpenFile( ISELogFile ); + + } else { // WSH 5.6 + + // LAUNCH! + ISEShell.CurrentDirectory = ISERunDir; + + // Redirect STDERR to STDOUT + ISECmdLine = "%comspec% /c " + ISECmdLine + " 2>&1"; + var ISEProcess = ISEShell.Exec( ISECmdLine ); + + // BEGIN file creation + var ISENetwork = WScript.CreateObject( "WScript.Network" ); + var ISEHost = ISENetwork.ComputerName; + var ISEUser = ISENetwork.UserName; + var ISEPid = ISEProcess.ProcessID; + var ISEBeginFile = ISEOpenFile( "." + ISEStep + ".begin.rst" ); + ISEBeginFile.WriteLine( "" ); + ISEBeginFile.WriteLine( "" ); + ISEBeginFile.WriteLine( " " ); + ISEBeginFile.WriteLine( " " ); + ISEBeginFile.WriteLine( "" ); + ISEBeginFile.Close(); + + var ISEOutStr = ISEProcess.StdOut; + var ISEErrStr = ISEProcess.StdErr; + + // WAIT for ISEStep to finish + while ( ISEProcess.Status == 0 ) { + + // dump stdout then stderr - feels a little arbitrary + while ( !ISEOutStr.AtEndOfStream ) { + ISEStdOut( ISEOutStr.ReadLine() ); + } + + WScript.Sleep( 100 ); + } + + ISEExitCode = ISEProcess.ExitCode; + } + + ISELogFileStr.Close(); + + // END/ERROR file creation + if ( ISEExitCode != 0 ) { + ISETouchFile( ISEStep, "error" ); + + } else { + ISETouchFile( ISEStep, "end" ); + } + + return ISEExitCode; +} + + +// +// UTILITIES +// +function ISEStdOut( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdOut.WriteLine( ISELine ); + } +} + +function ISEStdErr( ISELine ) { + + ISELogFileStr.WriteLine( ISELine ); + + if ( ISELogEcho ) { + WScript.StdErr.WriteLine( ISELine ); + } +} + +function ISETouchFile( ISERoot, ISEStatus ) { + + var ISETFile = + ISEOpenFile( "." + ISERoot + "." + ISEStatus + ".rst" ); + ISETFile.Close(); +} + +function ISEOpenFile( ISEFilename ) { + + // This function has been updated to deal with a problem seen in CR #870871. + // In that case the user runs a script that runs impl_1, and then turns around + // and runs impl_1 -to_step write_bitstream. That second run takes place in + // the same directory, which means we may hit some of the same files, and in + // particular, we will open the runme.log file. Even though this script closes + // the file (now), we see cases where a subsequent attempt to open the file + // fails. Perhaps the OS is slow to release the lock, or the disk comes into + // play? In any case, we try to work around this by first waiting if the file + // is already there for an arbitrary 5 seconds. Then we use a try-catch block + // and try to open the file 10 times with a one second delay after each attempt. + // Again, 10 is arbitrary. But these seem to stop the hang in CR #870871. + // If there is an unrecognized exception when trying to open the file, we output + // an error message and write details to an exception.log file. + var ISEFullPath = ISERunDir + "/" + ISEFilename; + if (ISEFileSys.FileExists(ISEFullPath)) { + // File is already there. This could be a problem. Wait in case it is still in use. + WScript.Sleep(5000); + } + var i; + for (i = 0; i < 10; ++i) { + try { + return ISEFileSys.OpenTextFile(ISEFullPath, 8, true); + } catch (exception) { + var error_code = exception.number & 0xFFFF; // The other bits are a facility code. + if (error_code == 52) { // 52 is bad file name or number. + // Wait a second and try again. + WScript.Sleep(1000); + continue; + } else { + WScript.StdErr.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + var exceptionFilePath = ISERunDir + "/exception.log"; + if (!ISEFileSys.FileExists(exceptionFilePath)) { + WScript.StdErr.WriteLine("See file " + exceptionFilePath + " for details."); + var exceptionFile = ISEFileSys.OpenTextFile(exceptionFilePath, 8, true); + exceptionFile.WriteLine("ERROR: Exception caught trying to open file " + ISEFullPath); + exceptionFile.WriteLine("\tException name: " + exception.name); + exceptionFile.WriteLine("\tException error code: " + error_code); + exceptionFile.WriteLine("\tException message: " + exception.message); + exceptionFile.Close(); + } + throw exception; + } + } + } + // If we reached this point, we failed to open the file after 10 attempts. + // We need to error out. + WScript.StdErr.WriteLine("ERROR: Failed to open file " + ISEFullPath); + WScript.Quit(1); +} diff --git a/end_game/end_game.runs/synth_1/ISEWrap.sh b/end_game/end_game.runs/synth_1/ISEWrap.sh new file mode 100644 index 0000000..e1a8f5d --- /dev/null +++ b/end_game/end_game.runs/synth_1/ISEWrap.sh @@ -0,0 +1,63 @@ +#!/bin/sh + +# +# Vivado(TM) +# ISEWrap.sh: Vivado Runs Script for UNIX +# Copyright 1986-1999, 2001-2013 Xilinx, Inc. All Rights Reserved. +# + +HD_LOG=$1 +shift + +# CHECK for a STOP FILE +if [ -f .stop.rst ] +then +echo "" >> $HD_LOG +echo "*** Halting run - EA reset detected ***" >> $HD_LOG +echo "" >> $HD_LOG +exit 1 +fi + +ISE_STEP=$1 +shift + +# WRITE STEP HEADER to LOG +echo "" >> $HD_LOG +echo "*** Running $ISE_STEP" >> $HD_LOG +echo " with args $@" >> $HD_LOG +echo "" >> $HD_LOG + +# LAUNCH! +$ISE_STEP "$@" >> $HD_LOG 2>&1 & + +# BEGIN file creation +ISE_PID=$! +if [ X != X$HOSTNAME ] +then +ISE_HOST=$HOSTNAME #bash +else +ISE_HOST=$HOST #csh +fi +ISE_USER=$USER +ISE_BEGINFILE=.$ISE_STEP.begin.rst +/bin/touch $ISE_BEGINFILE +echo "" >> $ISE_BEGINFILE +echo "" >> $ISE_BEGINFILE +echo " " >> $ISE_BEGINFILE +echo " " >> $ISE_BEGINFILE +echo "" >> $ISE_BEGINFILE + +# WAIT for ISEStep to finish +wait $ISE_PID + +# END/ERROR file creation +RETVAL=$? +if [ $RETVAL -eq 0 ] +then + /bin/touch .$ISE_STEP.end.rst +else + /bin/touch .$ISE_STEP.error.rst +fi + +exit $RETVAL + diff --git a/end_game/end_game.runs/synth_1/_Vivado_Synthesis.queue.rst b/end_game/end_game.runs/synth_1/_Vivado_Synthesis.queue.rst new file mode 100644 index 0000000..e69de29 diff --git a/end_game/end_game.runs/synth_1/_vivado.begin.rst b/end_game/end_game.runs/synth_1/_vivado.begin.rst new file mode 100644 index 0000000..7629f05 --- /dev/null +++ b/end_game/end_game.runs/synth_1/_vivado.begin.rst @@ -0,0 +1,5 @@ + + + + + diff --git a/end_game/end_game.runs/synth_1/_vivado.end.rst b/end_game/end_game.runs/synth_1/_vivado.end.rst new file mode 100644 index 0000000..e69de29 diff --git a/end_game/end_game.runs/synth_1/fsm_encoding.os b/end_game/end_game.runs/synth_1/fsm_encoding.os new file mode 100644 index 0000000..1b73771 --- /dev/null +++ b/end_game/end_game.runs/synth_1/fsm_encoding.os @@ -0,0 +1,5 @@ + + add_fsm_encoding \ + {control.stage} \ + { } \ + {{000 0000010} {001 0000100} {010 0000001} {011 1000000} {100 0010000} {101 0001000} {110 0100000} } diff --git a/end_game/end_game.runs/synth_1/gen_run.xml b/end_game/end_game.runs/synth_1/gen_run.xml new file mode 100644 index 0000000..0f18d71 --- /dev/null +++ b/end_game/end_game.runs/synth_1/gen_run.xml @@ -0,0 +1,98 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/end_game/end_game.runs/synth_1/htr.txt b/end_game/end_game.runs/synth_1/htr.txt new file mode 100644 index 0000000..707aa40 --- /dev/null +++ b/end_game/end_game.runs/synth_1/htr.txt @@ -0,0 +1,9 @@ +REM +REM Vivado(TM) +REM htr.txt: a Vivado-generated description of how-to-repeat the +REM the basic steps of a run. Note that runme.bat/sh needs +REM to be invoked for Vivado to track run status. +REM Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +REM + +vivado -log main.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source main.tcl diff --git a/end_game/end_game.runs/synth_1/main.dcp b/end_game/end_game.runs/synth_1/main.dcp new file mode 100644 index 0000000000000000000000000000000000000000..391e83774bba934781d0ff730bd8d75e40ab8203 GIT binary patch literal 71107 zcmagFV|Zj;w>2EwHafO#+qOEkZ5tiiX2~Aa@145lZn8U?89_P#_>k0Q=p`Oq&Avk+!}W!4ho=`i(!HV3wNvsC;5uC+bto#hkv z$3Q1v5c0(HTKVMUywqN5sZ^jbVDY{XRKp{@6LD9s-{+f_dW^xuZFBt2@4dluoXNzn zKSiKHJ4y1-c7EEsEQ{(c(e4Qcy?F$5@F5@9acufYqzR5XRoEjJ+#w}_)Uep3265%8 zm0GGb6|+Wql-$fS6@grz!&*^Mo|2TNlLY?gKvvEa77+Pn>hm_hLW~WuODy1KYW3Q3 zcd2C6AjDO>HkFi+J?{lNzzDH)w{9z9KF_#MYHO*t61eXbUscBV^Or<5j8g5A-g+{X z%U9G2sn$gDv1d^PpY5sh(SH6eDeDnkH!v%%dTxG;{JQ&8nt0#fHXaNEW@W_sa#zUI zSoar4`|jiKvg#JhV?xFMaiI#Uma92kfPj96fCDZa5Rk2rr5(NLceBfGTYDX@B#74! zkY@p?Ka5fsE4HU6Q+99=lC(URJeFnXJh9lUUf?526l-oaBB?*%A?~-Yi9O>Gw7z#I z@TSt)GA~4KMU~}-4^{5HHU0@7BFvdNj;{K8{yKHZt#CIunphutR4Y4i;n_Ssk2jd< znPfOe{LJ=$^Xh)T`SWh}@%r=i*Lz>%`qH1XtCsh}#=40iD>jbMI*h#Iva0(Tj-0~v z_3H<(oWkwXR77ky2vC))sk_(xyLac~vASr6W5U`zm)xZ_UMkb!uO;uoaRz@6$B#ev z?^a8mcXxJ|N^3E2H!NhzN`b&gNJelZyM z%?fxtdH&-5+Mb@fJs+?u18dOL^}XQbdbtgEZ!N(P@agzt3UnfAx{&gO`yhz6}> zSkz?-bxT>)dp`lR=O`YC`CFsv@Ug_;L|?uj?Kh_XG(YVx)gia>ub7Wt`dtRO3_Y&= zzM7MEsnIjhOM4T#Y)$g{DV5|LJufb)O^o5#=hhu=R(_A1e6bYd)}O^ebE z^xgDxWGAbZTC+Tlmv+Z?*Y|@f(|@AV9~tmy+%FyOxtVUA=H=k!cJuS|Rr#xbIyg3G zGJJ9Nq`NQYrPRE7wV!pJ@xIk-PBT0dZFlj!9d2rs1iY&BFn_H@b$kA~I`L!k7O_(Q zI9d6eyNy(RGeAVR()eQ_dFVK#-@}8uQD?@i-HBHB81I!th@M3FSV5R*1K-n#{y7)$ ziY#?{!c($Kn3%jQfTJwX?zKhz^Owgk;N(9ukUF`6D?`iG+pYoepi^FqPy5Yre|V;IOjxJz$>iriS-w{D^5qk| zinR%jwvN7{+S12>*0cbM!{n$Oe|Fh5s+IV~Y~6LO`sS+GYUr1^VMVw)%Iv$3$J0XA zSk`ZQ{SRk$PjAMz$CoL~;46c&FJ7*0kL)78)NC{(t#@q=nVaGQ@xRor}sZtc%<) z#RRuWe@aQ7BLOth%063SQY#cQjgJwB|BU$0OlI8fOa3u#Gyhf>g*|kc`GiK*;s0bdP}a^L{-#v3XMY zQ~o0lH*x)3K)rFIb7|_2aYj9@I8K9Js#jQPW>GG&r3f5y%S{BuF;QofMxnr+=0d%8e7CJA)uQZo;Ds8@T#@4TRRO>S+R8F?#D+DBK zcw%;SMJt*5686sUQMx z$MqBc>-xgm3ZNv*xj?F$8zChurfFY6SG)+`;wo;I*rvPEGe>9#e{9ibBhzB7kN}Fe zS=RgTPnOoAHnxw!*h73f21je|NZe>FJ4{DwuL#^MV7IsM^##5`)AhrVOFTQd?Sur| zG{RQ%Ha7f$*rB?^_x);~su!hezl9O3{dYXR17ZcTVLspB32pJHhU1`%OUm)w=%1}w ze>-W&qI{zt|FkQ_YomnhjC49F#JeINPmFeI%Ay>hAHTIL+;CR9x+EX>#XJP4T!gMj zhx@0lqc$h6VOpu9@oQu_dT88OdT2J@YgeY;YaQ-8jXCZ+|3mVB9TaTakc#o;=sCYO z^ZV_7-}z~G?E&Uz({Ole>0iEVNT? zVwk&>{IvG)&(PCNOHfO?U%&QetNSWV_?UUzv-<4wtN)cFFQnAEx6E7doSA&KgQ4+T zytsn|6E$l}*Vly9dn`%p=XU|E`Sy4QX{U7BQ+l82>XQU*`Wz0b~YNr(2*wfqD>bFLXL37h4hw?oPnz;xp!!c-5KWNe~ zNVZEd(U4ng+!;l-Op-C@Tc1l5*aFpm2U6v^enF=XsIp~wg*si5LA#_YazU%4nsPyN z`Rw=6G`Ht{fo~#ju^-xyOToYW%Rngi3yY`j-6c`K4|B5q1K9|5EJ^#K7$>UrTy1Gy1>!fIf_Qo`tvT~P_1!0{vC zrKYU3jDut$YzId0^%TbWis*ernTkWmb>F@dkc4U=!!1Co;oVagKH=8vaf*wX22V-W z|D;h@dok>R65aQreMrWJ0SBE=1oFTf1?h!r1r|g+IR@Uz!G{gNMHDbCEx7}_sZ9V6 z!1e_V$km+!?xj+oYu%v`LD063u3fFut&r+=#!NbyTsH z#4)8(NM@f|CMvVVsu%ff8xVjMyw_!^3i$8StCV9&tRBchXA(5wRL&Kd7HBQ*9_YK5 ziXIqjfE_3o5a*$#{kYPM*8=a7&Rwaebkl*{eX_+^Rw>Qg%rl_ga6YF!4%^}pUyeNj zZGf(Q=Ks~vLiS^+XJzZZQyfvvC2`1U7$;l<3daaJZn0X0Z2$L;dRxsg1Zz2_{-d=H z_yeGwW^#^LEgY3xL`3wIfnXSqmA{8*Q5_ zvPY@4E;IGTG+o{m(<@sR09#G}1@oM;0Ur&n$9Df>e-4igMw4R62W^t3%LOfwV!>Oo z6ok-qK@R(w^oS3XjXCAqR0!<#n`4%T*n$}wLJCPbj7;*pmJ#V!NZQB2x(HNX*^?wV zLoN|3^7cQNtond%u7;bQF3g&sakrJQhBEoWXG>_g;^OsXK;~{<9!P1zEu2Ryfpp2e zNx`KL_!5C06`x{3@bXfk)^8f(_JG0l^7Tu>mG77NLQGOEYQY(9A#P7&&H!!PWe)~$ zXRVp{W-H}P0yRs{n+OVIKIOEXN7!>Yth=LFpEX(Mu{oc)!dcr~dZQ4`+`{$RUI0=x z)&o*ytT4fOc!gC6l- zeupS03)Fv~jYl9?0zug6gOn$Qm;#53#c*9G-Z)@c2 zy~vCrzQyYupiAO}Qcf5GnuFW%ggeb}!PQFs2lDjyX%MnhBX$!jJ=@*ITV%!$=fpK z*B`j=X4_G~ixByn-1`UO4*{L=86G7rxvh=zXx4?b=Skn(fon?i4qu3%efKcklOy5} zXbI3W2s;U^yAqWZQ>bMhv?grCOa{8P7$t=WYIk=vFvb8)XY=MK+JyC@&?9F;vH@Ds zi(-PSFTIfR$TsprHP25`M%ZSi3l+H>6?oZq0k}Q)7=iE*wfsW zqS#ZF7qB8v|JefEdQS^M@)@uX(S)7kgceQ#$HIRyaKz{8Uc`RfgnY5_E{X=r2z2fq zCOJ)dO|PCzBDm#q7uk}QJ6jC7hV)xy_ft;B$>qZo(Xp00ShTwXDBV8FNd$5Lh42kP zHFyFj#$JF52ta*O0jLV^kp4WFyl5uP#~4z`fny7A0YB-7c47oU^4~K;l~@!Vnmm9BkxA6!jw39y@e3 z9KuByYWxib{2~`r*8Pf!%*gDb+1h14>1_%o+nqMUwb8O=IqC$Whh#lT*Qx?N1XJH9S-1u?|;b z$g6!Q`JgGc=Yg$j@2H!Z#v3!_H2)}OqA2G6{L}P@yr^f~Ddy8A33XW@xdH5f4-5+>nsxphzeG#81B)3j8}BkZt;X_J1Hzpnn}ha=wKLm=!hm(*_D8 zikWuN8T|(({SP$$KM)A;zmyT}w*#U1WvFmqe%!=x#GG760fMcea8PnCM--SyQ2i&e z|3+mv|3cu%A$KVsMR!ya=UIQ89&7I7e^Y#=0~XV`vhneI_*D_Lrvy^t@gF+m2ARGx zd-C)q85nqu)7+1i&eo^8?$2%xZZ2A@MVQNA$z}D!28kJ2)6H=IYU;tF7a<-^%&8o2FhzQxkb_{Ql+E z{LhNzpV!k@r_ax;ryfkg&qLv_*2;nNIt{WXinA;V`Z{$_0~gy`OXXOwAC3AD1$4)R zUz)npOGo{M_k(`V>!U#UJc98GE#T(h4u!43vXwPN>rjI=^$XKK7PenYtsr;;%h|b? zx*i_N##MI0dt&{!)fcC#%rSqL+$^0fQ~Ob$;93|)@5aXtoqJ~`d` zdH#AY-SU5}Pv4})%)_i2O8awpe;hY0SHL#rpFvmqna+@Qk7#Dn=D3wZ@iZc^NJzu4 zD2AZl<@+`RTmvh-Jriy&(4Eoc`Ej%)VE&^Ove6)ZJDVN-p>DxHH~Zru&pXH867q}C zTmV1sbEM}h_BR9uS5)_$$4sL1u32d!1#y-W4=YKhd;!9v|R^(%2f=9g8lH z2yo=Gyo#m{3D%?m?==SmnUX~EK2CW5l zQ5B1U)nOI2TZl50d-9QUC2&MHVO^k`uqxoE5mu+oBOJ()(w*N%F=dub*TOHKTR^^Z zuW9n+HB{s&@ei%z9-e3P0r$kQFE5simvQ*tN!Bz>t}_RPe1}=n z3{IoYL&4eU&F@z_6u+T?OeeAt^lTQ_1V?^p$B9M@N3#?ka)ww^996;5&&R`e+&P9R z<@}UXX<$vKl4DhjLr}PJ23NtWF6Pq3nM@wWqm&q7erYEVOdGJNDiC2qo6I(=JFXai zswFSGm`TFvKylG-%Y8P^YViqCmW+c)Npj&5ykM^mjKH;DElX+M!s4Z>NDm&dtHz0M zOG)+kKE(>5ARTnH)1Xr_Okx+eXLNzZ%T*wdSN7E3x!*s^`eQQD0Q9o4$^rosHx?uergl zIAq;Z`SVd{W>S|1)|1p)T=i|>pgK)RQ{DCnZ~yFrol!8H2U4|()66%n0Ptfks>BH|}zC10CE{}-idnWDYsi6yh{_Sl4m-;58PC~0LL?uGveMPs0k;~E^ znn$Pdx5V%*=7CG0!kCk*`&ivSE{&FJ*L&(E%9YL3I#i2%jIQAue=0BD*oy3w!3j}2 zDUW`E;08RYR`O}GyOvFj3zRQRc;-1 zC|aa*%EBcJ)6z2tFub~iG86DTv+VWzTwDikD#(`6U`mQ}EvyCnEexr&S!IOLOOV6f+lw8iQL)tK58UET1l8!!dK` z7rOQx@X9Dad~q~f+24A++ly_#NAWA_kHs$bliZwiExy4m$;v?W0QhVT|M)?k0ROT3 zAOFe=;BSuq<7@i>{Hfpn_`{pYcH=@X))b)%{<1<)WSs`v?65sb_;!uoC80R7Y{~=Y zYVLjmZxU>oX1yc(nRr3r&eQWkb!F%I*YDN&;pV!NEUm%7UKJKJzU>q=3cAt|=(f`1 zEO#OjEW@g{?)ABz7g9+)_o>8zEY)~8Y<5_1FZ$lNl>b|pS?Nm1t45=^83p;fCb~3n zQ?4vg5p)Q*Q0I21Lv5ftuuB}#+m5oXuZd=|+$O!f{Gkhevobu--$7&gOkuCdQ?&wv zIot$7AzSFjjmy##Q7Dq!azj|#swxTVbd~qO3(}3a#DcK&Ng|l8Kt0-#--FJ^^uww8 z^9SA-4B}xP5;x3}ev3D)PJaN-lQ7mv1slE28oaIk93*DJ#)-%$h@9BaVyGqM2{Cuw zb`E?}+$@tfi`AW1QCVI`w;g5~gf(t=;mW%6^UYcv_{|h>An*$xxWO9}%x5|HOOX(C z&nF9~m#6AyWSOzQqD$m{P>3uXBl*LTT~wqItn5Q{S>DrPUYfV}E!+WaFxNYqV4vi7 zI5AkXf*rp}8F!W}T z*~FTj0U& zg(0Ddah^$GiKsDNJg-2wvM(Lm3EFERj<;|Dp`1LTPQaG;>#uN;ueaS@hAI1=Df`>J zLfY79JAZ*RGPXq=fYOpo(`y|?Ml}9>uD%%JPQ*ZU|7BZip0>iWa29ikcFsMORmKX1 z`9X{g@=p%gR1%I2g0B)hkH5K8V=;ze$glEch4*cvQ~fy6ug9GLN>zVc0}H)8FM=Um zJL99+Nlgl#Ukl1*cGqP3xHe_AX$gx%rHz?+UWTgoB)D++O}+7Fzb?9cq~P3n38Kz+ zh2;`$A{AWjy+Rx&Y+_mhVtsYnOxcIy^J0TW|E!r7<&Uk1YpiVZNya$a(;!^O zKRrK76nW!GxfPVKJ7lx;xe>dCyaV~h-g;=vP+uqWQ8 zs9xBHz*HJ*QL`sq0f0R)de-Qp8(lNit&62#e1R25Qd_Dj<8)U|h(+D3jI)!v`2$L! z4hTtS%EM3W>*d{5*-9Sin`-EaMTEn4p40>8NX0sE#u6RUcO&{#FG+3R`mTkl``;uB z%jW&SXQ>z~q&q1cP-ynZy%V)Fwlr52<-XXH>XOtdx~9KBWrC}8hc%aohA zhRsJ%AbxNYu~+c*+E}2#0%2@S&k&mUs$jrbBvEc)^{x+Q-BzW4EE=uNJDyi)V0&vY z`!pR)WsKIeCu+s9C*8`ga;1Om%{`0ne+UV{`|S=eh~Bg|w!HCgd?F0szc@hhz`36c z;9oIrcsXW*YqW^k^6V~~0Ap;#B7l?TPu)&FDguRcHY5Tyww^hFk`N)eZc9wXfi{I| z$G`Th$UqIWmqYSfxq)8ggD;vr6r}}mx*^hs@IDYXzS*shcRuN(F+4n3>+KFjgS}*+ z|2i((-k)tf=uj!;_ZqVBXPC=a64ASoc$fSQ!46yKz~bZ1Hw(VQf96;#+h&yx+iNP( z-qPl_M1&bI5$9;jq{}u4zVnkrR|ihxG9J`nHOCR)#U2BUHxXwBx0Cx*#RIWeiEbyl-J^yWJBrpu3XfWTWm$i5D4Ny-(6UyGCHIH(Cj- zzJq%+JbD%RHSv~!OzXCWRJf3%|FXM(^<*ZZj&JZny#2UoHClNOD{#=+qXVzy8n7Gg zgXvL4TqV!=o^TCe&-Q%@IgId5Z?`6_ntR|z6y&gnNaZK z@!3zb)ixoSc2^GTEQ|j9#6`5A>PMtyFI+R*1VaX`URW@X2BV$DO5|nTD`y$K1U6^X z;+f2LNGIoNC7}>gx{^eu>J-J_As?o0j(SNJb`q~uo*x`T6JNRvwMT>(kmnP;Xvjap zJTAjPM=nw}I<+OB5uHN9({E@rUY5qd{_N^Jhi`4v>sI4Drb34l=FwoQ6djc^suc0n ziX%4N4vHX*&7~KBA$rlhM$`N`?-K&y$sJ2)8*Hqa1?OF|GJYfz$sc4?!q{f)7awBp z%~T7A(ro-x1bXtos&!S6QthvD)lDWD5fly)g1rwJvr2@*9*2!Sk=07?o8@Ac=zO_vWU&$`s#FmMxf8^d z-yt6Cxe7*U&v#l`6pXvxCmm3p{N6JL?D~;VQ6ohjM+?ZB0(@nQ$27dPU!uFTO;>_i z2y4ip>i%N|hiB}`MWZDmrFbJtBe4}VS$VSj53yq}c@?A$*Q0FqMsY29zn}5M&3XY#z(GQ6R zc=gmiT0(0hhA&Tqo7H;81eQKnA_8D(_ym-NjWy}|&*yXPf~R_Ldd2F7Q*>)I_S#D_ zBO2{~_I^31!7S1kjVq%ri3oZAwj&fsKA8bXtMDD2cjfkd9`mUF38wB{Mn6< zNQntr7SWb7FN|eOD4g&KKr7~T!~sP1TSX#LaREY$L|u@J_3I``&T=Gy*8SMY+Evdd ziqdq3NNhUSz-$+(SY7kL`tcq`+Zt8R-_o1J`=+8a!0=@#GV1E*JD7{-&zhYX(^M51 zBPJgAMmNC4XG^;6hN>&XNy{cp)W8RnI__dWS{5cVy7|2MOs)*1>-m~1<9_lr_5xlM z@!{z#Tmg@f-Ht66v2#`vkTnBK5OC<>TJ;gC;hJ3nUUXZN?S2SqE2ia3C!H%_{A^Q# zSTl8;FQ!P)M8fmkY67o#{E-ZvQId>|L0YGz#M#>x`qZ11Tg<*=@mXpuLU&ngHBfyQ zQx0E8nYk!fj>g73Jtxk_+zuZ3x|=?a;vJxZak(}ybePw8-nn#f*jc3Uy@mivH8fHv znUUX{0%@4y8myl&NE}+^7@G`k-7A@q6;5`g$)@^Hu=E33RIPi3@cy z%!zNp8Jq}~WU?d!VzR^s7&^e5fsE&)K#iFmhpQW%z*8O01DY!Nge*(6cn{@n|G27=*`2GjxnEb-e)9PBO{lC5hnh z6i2eZiy_)Nz!vHnV+rz@Fa?`Sg@x};f`y+r)0j3Qw9IOOqT@_g|kD&R?8?X|!U1;g^e!wuoC?di<IC;7^X5y#aX4woo*nq~#8%|+Ch}MZnK-f~+>d<{?A}P_ij&Zr9 zYY3*#8=;lyTgdfsMnNKb7wdQ;r}**k^mG-`;LctZ^)X|swCQGWA87JsEgZ@XTJqP* zr(=TQ)#IqI{Q+|vSD`G_0vE(Cx)&_DdI!o^)QAd(X%5mSFlAQz1x5}Sr0G8K#wJFe`vr1Iz|sw&Dot&)Nv3vGq|6ZZIl_GW5x%)rVNE3Cs_`6Xj24LRGRW zDP&}cz$rgbVN;bZ`-1XCJL}Tzh?cdC6o=qcAr!HN^<>XPLZijNI@7O^sDr{&`!(}R zW;5JmsfD`1Hj~f>Uc(i?pFYwy95#r?^Di>uaJBUcv3{Fbp<%}(te0vxqS*z^(@o6~ zYlMxtD2%lk2(Ffv(DTTUWWtdo+BEN+W2|sKk<+qjJrPF+r}_A#YKXwc^46$~<%Zpf zIBPYbs)+0ukJ_x|U?L6M{0(lyvG-+Q^k1iH6#bvVTd@J2u_|3wb$haNKSU)AHKiO= zPo<*nWfhdOExnTVbQgZ0nFDTMRy8Lukzb^9N0qseAE90LAb4WYJIeDqJ;~I4k9|_g zx>&LAdrbDzh1Mf_s&Ci6E`{CCwA@BdJFuk}?awk5PYG`)%1`lcLncxP(e$Rrt-l$? zx%0vaqup?v&WByif-bd#6c(eJ0?ey9>igo=d>gWU3o_0O7NI5XR}7^sDHCa{wBhO1 zJ0guss$2$qKp^~*Mb;+_j(`q+bqMk1>OU_6LpDkP9q3SE_?DSVk(==1W$HogU^n3B zm3v1nuQCo z#W4z_w2cYZu@iZ>mvZe$w;dw>_O#<4bEuR%!7(tGfq^juYKBt$3lO=sg=EA5IMG3`HZez2?|-YeK=CNBX}^hP|wAw8Wz^ zX3@_2gjhrGCh>4=j48hjTfnag1#unGQ)~u-AJ-rD%FP98U$C5T0s_rCnARJvn=2Y-Fio(_L?PgiL4jH(n#`3o^ z^hzz0{nVJzXMTf8`{nN%&v`2R?URwK>mQ{l{Fo7^U~c^sRfa2@Eq+U8bD^;HtAh0P zar8ei9^SS6r73q&(yo*v4pz`m2UlhTt6Vyo?a^|E!Ly$?I37EfPX;2rIF)9LS zLd(QAQieVwI}xW0gCtt(c3yZ>GJ?{J2$z0|q#8C^&{sZ!py<0N<2M$5koO@4N`vF1E2Pun?7;ur!UB%mTLliB2#Z`#o&R;IW1fRV-TxI+%8bnT2IXC(7&cPR!*nK)>R1WJ`cTt{ot5szITw;Mva0=pqqeF*2y0NJ z{*&+#i`S>Z{AL%{&Ez73omL@)x&AuRRWE;Io`Cn7smfi-$nKQk0$(t8E|9lJV~)28 z_m84Pn_)w+2k53+2j%e)g%rH`>iBf zJFso9<`0ueN;=C0vqkNj1+x%x+qIaL;@H59)JDtnnjwC8)TvcgS$1<1!_pEP95d;Y ztV$#fdXWuQ>SQ_B(M%X&RQ7LjtaQ3ydUP<7di^0muFVVb77 zE0X*Ypy$-3QXfkdcCRYGB2g1&!OKG6*g?kTdOMOVmEGMc^#+pNfx$>!JSm8S;iH^b z>ub$27uR#nwziV5mkJWh4umzdX_v@X=nq(_PBYKwcayJQ=gMbYe>Q1Wn$~|zS}LD0 zE`1DBZ3`4Di9sTekjd8ZGOtXZuu}D4E=4|f`s|e=j(?@YlS2hCc%Y0Rv@lz0- zI__>hVE{?mYDXFC8#TCk)r|3iLCS08QO&%5i`GEb46fmCJ9%DdZ!$h}6e#V7c_ySZ zl3-|J4bt(sPEQ9eFAhX{iR+`j!%li$CUZBHN{bw+-QQ2&hO`LRF zDlW4E&dzT*rr?RXcd#Du;k6cRAI69f^3$m52r)VAO10zeGf(UCTWV7+CMpdETUHSamQuN8{~rL0fpqa7E=!;Pn6w1okrKKLy-!ZMyCBJ zQUR|K*vtXaO1pOujvIz&snOi9@>}i4=bNi`{ILnH{nb=@oyw#>LaMPqaHX+Re!%&o zHX5R(n?8-hiP6C}MaWIJpL=lOfU~@klrN^n3G9W8lU`I1omL35ZY*f~Tllee< zyZVbPD7)zioo137(hY8K^dmYcy~LY~K+1H&S}qsQI>cexsXa zptNz=9S#W0Eb@f5dY{zcLwAs%y5P2P`4BgIE3EBL+KL8hp|aRY#FtX&O+QZOemtCH z4BCR;Gs8fJuMoC;Pnir3hqj;V-A7TjS76%J4FmFa>H4ynJ1|v=L>gSv%Fd>5C{yU? z!iu$$c?{>PCM!%G4%6wDu|9`j|~>PS>GB}j|~Km4Vn($nOFh_(B;dN93w}K&;w+^Mj4V) zk9m9offS)Y0xW!BoB`jl))YdDShR%HGY>7IR8l{A(1P2Pp!Oi-QTRA0aDYVQu*2K?qJz-POPRpoSjBwup;baNFqDKINGau+j+`B%8jNO%hB8(afJsz{BV;+H9%2~8 zQF7QKOFtM}LmH|Hu}voc&nOjeL~x4Udy6>~o>Dm_b_zmMR8cLPSQJo3Pfs?(@Nu3X zO(7!giT(%Xz+ZN7K zCG-7(dp-)~Ip5NEM3a^y5OR?eT>5wwB(9e#j7I^O-?>H}cJWd2>_Jb^bMX{1q++4u zSZO4O95}CCy$t;9!3d4gHPoBi2gdcNDVoT&P6_Dey_-C}z8pBXxx8x|Fmz}7(~3;w|F-?9b6@x8ZFT7V?&rhA(a`_c znLqz$XZ{uQEcA2eKX&GcKeGS5GoK!|=BX~;b8mmHoqmEU>%X`dC*vkSo9f(+lz)G; zxyo=|%`At^Rxi*w6;xQ4SIcmGeQo#UeYh9D>=4$abx-)>Zo1H>wwxS;tua@Mi^(yaF36?gc2t=s5LXs|@2{w~lr|S9hV;jb zak_60Ua~2;{Sjr(I+3$AvUR~#aPWMkl6tJoxafF6Wjsthq47Uiy0NMD9!kZl%GUf( zs+7CKAHl5&P2-8G`Ib(e@uN*(R`a*m@k88ve&&pxbu0vv8q!)wIBGM=b}vlOOQ(eC zM4?(|oO_>bv{;schX^igLX@V!)Bx8Q`gBv&=w{uhQ+rhbEgiX<;QO|F#gB7K4xZ7% z*>CQrwnBy;1NIL!pIc%oT*#KlixvAtD{swP?!kOY#lc#-5*4M=`GvW% zLzc=OVTsFhaFnr+KIJv>&6Mwcx&FLt>&N`J!&XT=z>+w*r`fP2HW%K#$%|!z@PD_vUqTwf_F% z-_IiOzdRT*b!yS`GpI*1+^-)~HjGe=n9Hd>0(O@=AUA_C5B)t%vwv}MXML#h-yIx_ zKRmt0FJ0W(xjO&SeCljt6t}6Pe0tiTGI(na5a^C6gSz>x@_rgg9@SF_{t2NVaJf6{ zx#iV%!*{rW(*GylA$*SG#^`wu&$0 zW4&>zFEN$oMRHJ1*VFQRcbNN1h>&tvfwkX{r7*N*aY6ryJo|mwNsaYUj5Ss}-?I2! zLrmqP2cDQ6wvl=e6nU=&ZvQa1uZy_Y%v3ehg;ID;eUm!b?ZXbm(TKz>*s%wE&|5(u zHr^rPd4BB~Qj8;$kdIF1o(1D}A@%ZJ9$6&wJ2Z~vw)l7{rtRvd{_l@Q;=#ugTr6i1 zWJ0+uf|h``=Lg?!!tNi%NCnPt*%^bYOd-=oj%N5Dal|fh$t?RzJYln39@B6u7g)m0 zA>OgGwA))uAyyrRv9r}#e?70i-tf9z$WzolGH~gT;r69#r^F%QqrG-Lrn|kZ%l=fp zN=1yYMj0!vaH~X-wdMN-SzOr6$}?ck4aQR4oTWj5Wlr5mUyXr@a+D=0VRhum2xCp> z?=RCN5slIevJmX<+X?z=`6)iM)(;-V!Q=8M>?2@J4y2~21aoo1;SX?W@fNB$!g509L<1(mr8Rz_x7g0~BE9CvED0+gmi$jNoLWCnv3y8#sl(A6>Vp zw;82Dx?5pdY^koD97J@y;B|zi(x!EE$l71w%27ldjN|IiZfsUsWtf3FQ}Fq9<@v7Zyh0FM5P- zC?WF?85w-ze!hD6lDq_%p`%28y$>Y43sD7~)H^4IDMveF=?Nh_1Z0rWN0`hUdy>a~ z8p2ogro@mzvZ~m{^BSKHx9-@*Du29#pK5}(V#-V6XKupJEJ_eR*P=>+TF~YC)SFU5 z2$a=z=3M$(3QyM_Ta+1qof9TfgPKF%3-%(z5Y{8YKB3m2Ah;$b*^DiYaBhbuyYz7| z;&~?~&t3fy?xt$L_NTI+qX$_*rFGPC=eqjX3q8HTY(tK%7aTf@f!(T32VSmqV@Wmf0BAuM2Chf~bP>DaIS;xq5~@}$@R;l=skn&c zey6y4;cYm!=!eS@)Q|}9Ow$a)>9i*-_ixq3vQNi58y0l-os)Pv1sYKRdH=JFo@rRb z0}P)Fdyyid55Du!p)MIWwW%X#;k83ux!&r9*JT)o9>CGq0CHkmm=5Fx%|{MoU;Z6@ z_I#NM*dqs*28e-C7j^}myzXc%#PtukP_Ew6$bs5e!)pMGhJ#)deH?$1FH!>03R4n1vm?EHOx&o0G$IroLV*DZ-9%_R>a#@e1(XSs-%r4sH7( z`jUibNL~~^nF1wD?Z7wmnklj_{-LJi8ys}0qQJJyaLw~4q=1n6W;+`F746OtXw;EX z6F1QHm`6ctUkY+K-B2Kx3x9@4m@6CFJGV!!L{+sLATuk;IfQVDUOEWMSz8b}?h;#KgxoqjV9k({(Y!p%0jr9Xc!| z5g`tpK1qq5mJJ02ohInId~YkA#qM^R%Wyma;%33fiKgc&VFV=6IcuQYOV)+K98JtY z5vKR0gU%}n8@O{!Fh^416ujF{Msfn;jPnCScvF-S?rg1fVmCD^VFY#oLq-*pKw|n| zorVf}PxRlV+!fawIjp6WZB*ukSx3dOsS&1y9vs<(ydLU$Y3x!R=$$EXlxYkzF z?Mm@jwpppMS3vZ=bQuG~K&KYrf-*HPxL%|St9}f0J4_K_AMY^oR7QVE9t}$_={za8 ze$b0;rB|@tdVqjG-xNvex)Mx1?kXMU#kJ5#4WCaXFn zTa#M`pw!nCYymH)4i<%YaWu(=kd9)+s?l&CEvwQ{Dr?qQx!L0gbdHb&qU>b`LXcKu zBy7&Ifu0Kt%Qi?0hjV2~OJ@Y-oV6EJ7}`aQbre68C=!Sn&Td+wGeO5Vxn#E$;H3v7 zIJufnHg(X372+wNfw6{6rWONd*0hD~mdE9XF^$g!K84*SBQM@h@HEG^=ufM6$KuG< ze1Ax{dgS)|zJ$F@A~8`x)}+l?((oH=(L*Jc*lTf2DKxvfm@&jGsfe*W&Lft%gNL3_ zzl71E4-c*~T-hYzs*JNTVT?Ld2=>TOvEu&`cFxh2b<3hp$F^s6~f10s9|#z!l>YAqc*U0$t|9{-jc6Ge;Pw)G{pMw*0a*n<#T7iEVo9%?M`at&&|& znMni~?mN$gou^P`Z}GRLvrgRcCn=}tDx6YhC+XvuokvqZ*l~(vHc>@Zde`+H^wnbo zqL$)-?sBfs-bDHjZV0`VLiZdE96>z6G!Ttt;Tfcok~~Du>$3(#cvKpmiZUlk7f3ZK z_hVj~gIIdz%M?geWTUdwy{TK@D%NL2zq{11 zVvQHJTr13h+hlz+qu*j=ow<0QKY7+u30&FHq<3*)FH zHX=^(n2aEmoL&=7Sq#&)3*&)yo;yach6f~d$kqW!1vB>nnhKXW7c*2Xyg)hQ63Gy{ zc#(@JR0JKTxZw;{6}q_>Sk}nZnxw>$a$|(DW^{)pbp4wr0Htu3I9g8Wl{unREsIE; z*9E?ZE)FKPm}y?fmKx}1`Z9+#4$~(;uu7gGc$S`O9vv8xV1xzhi5y!cpqlk6$7DY` zlKSYnV^1y;(*5ixjcM(K_jPI2(d+4q3qIyX&!D;BDfkw4P%fIr%zKoI+_c&_pdTzK z94G-qYIZt7LuT4w5;zDR7y_IGBng9G0$Owrh5(t(4GJKs07CzlKmv&JUy=bN8HJ#= zLDfh-2Fk}q2h<-0=cCvM?|b8-bXsgK^s`T14#T$!IEy(Oky4@??WL0vQMux$KV~Sk z*#?nf+!GVPP_slaa!bIsN;nl62>8e{97I5)LNi^Y5P1anMKzR~3>$P}eh0$8PO*Gv0-ST}%#bwPlZXaeE-rf>@;QunsxhHyY7&kG>IfCyL#G67jH~_WHVrTrE7L)&)o?;I z?K%eT`m3wpj&Pe6tFxicNs%Wpbm2l4s55va<Cd_RXZ~BgkDZlt_{~HasNW7GYq7u z(Ax;6SsO!J;+W>|2m;X+>h1e8h=#il4NnjnM4~I?S9%pp!#RqED*z4l>s{7k=*uCf)2G6xdQ_E$aKUAm(lej1f;RqFLVJ|0ef&fl@i0-+Y&_=7Z*1L6<0PcE^gv`JpK$lu!<5IhBvu2 zK!exEc~K=W5-t4c@?E!wI}VN*tx)R+4c%+pa6}xx-{BD+UK@+a|wb?sn2zB$w9_-*EPq0VB9(+A`R^N~1i=h79 zCwuVO@XPEw%Zq9aC%5vNY7Z~x8fu@h$k+Ygjorj|e#AaFw*GME{A_E#?B~2}89jXP zt+0)45X|G_q_ptLPB=4K1QnOy=>VUMEF63At>L9DOO`$SlH({iu9T~J$F<9+_p{yN zV*l?fd+djb_=I3IgLV^zypSCLJ;b;6!X;;ynI?S17IcWSSZ@-a-aQ8sHrk4IUR&VL zBHkVn5P?*NHm_}&V;C>`+%77)z`$p#3!d3f@; zh4}O#iEL+Z;8$Kix29^F=6p?4%rDobT&8Lk6rQG;cCfqS&OfW-tZsfXZz}dBA0?>e zLjFoLYeVv*m?Wy^_8lUbv;}#WPZLphn+cUjTQ~X4r46TTU4`twE`BGdjUzi~-yo_a&q$Trt!z>^121HD+d!P zunF-4YptYDMn|-J5|dFZ*S~w+UdW7X(LPf&x}w295>FH2FLo+Z_2P`6>h36lnrr^{q3c2m!1AzYnzh z+z8xw4F!}bxjdxVF_R9Ao|@XnCk7i}L9MyI$jhnaclvFdb*I@r?qd&A1(8wD5GkXAe@+bcj|#*h>uxO} z=y?lW6@k%U7b~NTm)#f9)4A>Khg%KQ#Oze#2=gbz>tS-cB>6dmZQI$eb;t-D@8m}e z@oFRfKZnaj<8LrH_1>QBz$`Ezhz<7)W1%nuIA%=4E0~DLeT+)dnf13(kV|={apLTj z`62i?%?K5FvH2a<>4FGL?LVd?jhTTCBF=Qc>v~DmP~}66(Oh{EBTekdOYHGA-Nq=u zG*+;g{6Za>+J>MfzpajThUoRuEa%Ue&`-z)8*t$0P{H=l z@=uVAKBNR0aAa$uL=I*#iNU5Z!9)a_P2um)6aM=O&-di)n=Od4V_usZ$3~QiIt(f>0l6y({ ze7!{%g2}mA`7%-ha?uxy`E&7WIYN~$62?jLb^+O>{vcYhQ=^~{P~@{ZQDB%2A-1>IQu8gk|N~8F9(e;0~j{X$wWsm#CG-qVV$^s$RUkW@)Br7f+0X zht29h)1Nzuzh$t{zAu}x8F zJg0~-*0MHZp}@gxN0|gq+Gwp|{59;2BUc+>0BIvuI)=gzbByTz*`o}YBG!p1$gm$^ ziuAZTG@7f8m1s9GO0~FErrkQ^o8e01PlfVr2(PB^g84)uj3Q>6$VRLJ$&kfb5u%u} zt$J=pgdcx-d6p578eGYmAad8yW}G21srU3Em9kmTr>-aT(k*( zRaT4*Bm4wa#ic_ncKcVF-5I8_vKK6q9#I71U(q5GvRA<#48LFQOk;4xQPh(EOgsnz zl^dXzZU?H)c>xa~^<3kmLB*JXV>Gr>7!KP|q9S%GHEsCKEDfIRQ(`(-=w#R-nObNK z>@>hP0ZEtk#Ok^Nlw4#Rl^_HM5Dc-@l9 zMy;-aSASvD@I@F@4I~DH(nhEiu?aEc3(@4F%lKfc$5x;&+d^WsOd~Q^kPw+FN)YSJ z#a2nprWeJ`0VX7Z2SqNnj!G1a4G?Z*UGQeXN0<6uZh_X_wfb>$hr62ufyk-R&!FHh z(WJ~~K7VU&72+IzP7ygdsgOkoiwZ07NXNH5ST<8yByk9fAo9g9soAa$rA?oD@2QS$ntDu%iw!y~k9bD=^O9lKgDa!aZJWW4 z$|FMLU#}*tfzL-atxKfwIISQaNz`^#m zK(%tVwm&0`YUYh!hHSNA*$8A~Huwd97LZH)EYJ^17@TUsPxi2*G#eUb%RXc0nzSt{ z=Ph|ui2C&iNJoB*k5qb8_*w98F?@{QfZbLfHcw_wH%V6v$w_zP^(eWg<~>pS!Nc%7 z>m89q%w2@KCrlL*JIR(6i%r9pH6`++MRQ}3@m-{};wQk>bV7nqHY$Da8{-^wBDP3t z2@aF=KbH}eXtvZ+2_)819zdLsnKgaFnZ5jpM_l~5`g^j^eHAV%nXZd`OOfW~7kW@V zC2UDhlx{s;28xkSuOrZzW%V-2E)pQnNyTq!@SMa@t^>cxH7(1pgyDVQhf4+0&?7~vdxTRk!d9}+;vxq&sDw&9`NIAYIl6i}>`2_6?k z)F$>O`c22tlOv3-HMhh#dRql}9r+cJ^3WMAcRMR;khnI0mNN%WByHnJDRIR9F`y$c z1l>-@@Fe6Xf)LRFwlq6pGPyHw+pm}Y9J_54{c<-aJwp;^opQG%c?R<`)j04N)nl7R z?xA~$I184O+zFTD6)El&P&v)E(0S1=z94c|G}N1vycIM#Z4J{>H_xcB8_{l!Ac_ki z;Jk&!t|F=PsFxP`<6}tY(l6B{0ccNYh4U!#TAKYuZlfwNr4uhoZXDEx)PFfREOYbp z4{Jz0t~f*IYYfOHTjB zWTg)-6(`FFW;?mi^-t{usQwwh(Bz;^i zBeI`fU86X!OcMSCR3}xXSU(zmb_ZDy%}+EYglj`h@<}%d-g^lF3TUPJbUd@_lA^t~ zS8p~kyL|rYIr?z#tF-ub;P|v1_!%*33O!~jS&APz!djmPsNy%IQLR(`(n@lj@~hSc zxA^Y&5zm3-ssla7b^6Jq!`bKR`BDC?5?x~zX52f-Ga~)FTk+44Wos|1%Ayv1eFOHh z8iAkcR!carTl|WerP(kt`PtFpXph`F(M{A6$aK;?ElZkNF`8-{1=YVw5YD`G7%#`1)RA3JM4^pD#M014$5D3Q*(x8Aa^4l%k0L#VTx~!zkRonTfwt>{{hdyh=uhIHvh}-c;n{I;VZo zCL*+XQ~FWDDmE76u50>LiVhZGTwc>b%u!i`IKLCCDnybbs-@*5X0NS9B#nu2=0ul= zk`C)2>8fzE($^fls?S(QI-lphEPDhqCf>83)^N{bU1|0cJlc_UE+ct2I4hYyHobUmww6&3BR&4oakQ%}DuM*+twE57g!9Am5<^tRX6;oSA&Ykzd1B z%f0x-qIoqw$mh*YX?KjP((3%Nuf4QKW_l)xZ?0L;qf18R6ykQhNEKwIzdukZ;oj#H zrA2N{OMkZjIu=qSsbTx$(u?a9id}$e6Hyd2auk_@R;Q4}|Kgpbir8^eV$|D3e+rVt z9pvft?EJKKWTY263r`*hwV15Wn{hUkcqY___i!s&P!(1(<1X{6XG*&0ET&^5yk@vn zF59-)SWXW+&t+RTo!6#3lTR90mhC6aSU}|RCTCP}-ab@Yi+|3Xle%uYkg~37X2FT0 zT5Y#(JSqBJd774T=ZY9r1sCdSKMGcaJf>Cg<;ogu1haG*x2)<+Zp`P-R5ETuXmz!t z1)ctYUIe84MlJQ$a*Cd4WLy=~Zf`=0p9=%>sa1e2K+0|EXJekEC4uH_b%~bRzmM|8 zquq(G10y?wPLo%j@elcQh4+aHwbmLqzH=5)=2W3jVJ~uK)5R`#5-n0L=2sD)Rn#`38Z zdcoR4^;p}>1l6gmB`NoOsKR}#qWk6Ss>p+%n-r;W$)xwLP4Z2nL|mdHyw8}e0-|P4 z6PGHr8rEMC!v2%Iin}$WPU2HqKk&GdF##q`3P*@td}8o?gJmPSnyw~9(}x{g+_E0H zVO}3MWLP?))neE*fR7Xa#c{=e$e3YQj*@ql^# z9K?`RL>q){m$)bDHJr=!00&S~5lZ1+zX9TrS`)7c$3po7EB?qRtk?{A+!Z8&{Zpn} z-}(pygQ;qfaCbaSkb9J%0o%sZg~ldMdEpq&pd*fPz=kSa*65mO)3goy z3GBDPL5Q@d(ZJaYugwEhdlrFc5`t{Z>7eLQVu5nM<+TmfYFY-GzNy4}GW$=eXuyGy zGl7g0Wk~&=)}E^1v}GcJAGPLWg8G*Wt)_vh2D?DCF?%-B%zs>f37`e`Qo(sRsf|hA z(^KR7XXTtxERC21RZiGC>goDzuB`VvHK`T2^L?df# z)5atOBKHF{TIxp&{b~#pYClK*4=ktRf~eoqI$p8{$*fP$awQ3lg?Ci$j4MNw65pd|qv2r82Fxi~P8*2p^Qa`|>$4ATF($Lx4g0Y6c zd!>lkHD$5eN_zvO4B+d*X|@%LbCPMQNNV2`dH3aen5M{fxgIPSMLx(Ki$Q1uh~ZoO zuQfrzpj*MjLg9eKaJfI^YapRftzn{~kb$B&m0$E!kx(g@&{5G)fl!?cZ+HrbDHSW| zD5=4LDEB${o-xG~3lwz}RpFrvB3zXE1t^1nLpOqoiZB9*;|%>B6acQxL^}I)es?2D z2t2JAN&BUtmZTIMtS&=1*I%8I-aO#>OHgA`XlDntH znIrcjX$Zrt+5V|)jO;Bh&is-1BXtg#eY4Qo*4X3BlKhs|iOkewvE8RKkqVHRJa)L_ zT+5$eF%u`x7>OG5m~_`D`c8I;0+-vimsDS1&PWq`1U@n50wQA>h_m+qhT#Lo7W|7c z4Tq9o7LK+M%>Lpk=vaJ9%aJws7w!M0f`VweduU6rVZ)mC1-`r-4I$_q-@a)%>S-vl zV9J|x2HxFn4IpdpfrGjZ9_b2A8*;||`!GKb#J7R!yQ!^}$^q%c(gkF>IfCDj^HDK- z3-Cl6(ddVYX_WncyHOszx+8;#D7u;(wgitR2qMxFrx8-_0t<1Ag@R|M6m~{)9h0@HLSp=?ZHTo8C%?&oJdD+2iJf=waAgVBu@DHe2i<;cCyr z0;uCPeHqby&v0M8Ufb0j)<-h`W`x5*b|wQFyjsq;7wa>8SN{Z)$1AP6Y*{Z z0`%tWqy76LS`+{1T{{n7XWtb?4$n8Mm}KYwrIRNR5H&q-W@I|o!fIRFrx z0W_eD5eRVbS6UDveT(^Qj=LAitgy3w#%o#$I+ILlbMGLGaLoKTrfF+6=G zCv$FArWT&uJgaqJnNp^+`?dh69p>HO*H_2MRW+&z1MZghPyq0@a+aJbg98rEX{ILV zBVN!Ou8^J9NaB0=X}VzsN^OxmYF4urzDL&F?s+H3nsUMoP!=9=CqCiwSO3QfXrTF) zDp=5&39`G@Trj&~e4anHD@@U^rrN&#co>tO*e)@KDlpcb$})mIxz_-=d-jV<6!QBu zPnBW)Z#zp3t7Tp|&(5AWh(pf<+1T&UH)W%J>{FXU^CY899NCMmylyCzoQ4 za!>5A_w2qvJMiE>oUVE8(;J(VQ=69eJ!sp0MY(O@pZ6TOB-82Vb%$@s5{tP%2H?jI zj0DIp9R{9!TllcH+PHxZsPA{H-$D_9`fq+UhO(R1^h=xpNE_vn6{JR%S&cm zg3GHQH5nw`hIlU~VRFq@+(EGS3=C4!AhpeKy$16?H}XMh?}31p$ln%@FQ65E4QPdv zb{bA&N%26r&w_NfcN;$QHN5M0~whlayIB6d=@9Fv~7Ki@_WT9%k#?6jHlz*VQp zphPPrE!{ywR=SmnO)3SKTp})|d_Y>+OIqniXa)$fg!|^6u`c)3jlFh(Txu|}DVh|I zIFgthF8okrDIHPd0f-1dWB{T95FLP+l#Ud%){Xc(mHP8>N;29YmQMBDT}ab$g5zvY zeKfsAHNHhwYY@U0p?`#-vP~QX*PyF~3#w06ZvsWOabTAy(G!$pzQG2ux)js~i1vcO zEl{Q-EXZnu3uUcOstXqN#eiF-L<3cNY@%f0C&ZKBpff~e(J(||e`APJh7lFRVj?0D zqnL<*!lJ^z+$)}AMOLO=unkTkPJ&bZ=WJOQ3rcQ8gOi5tVWpuJSSYD@Cjo#oI7!$g zW`T^$V9nuTH7=RGtZS#sbgTKRVZD#kaf*=+x&vX0+e>Rp93aX7(GRSdIzbXP4q>jB zfQ)34`oO0S+W+Q+xt33ZYBT6J>-$I%bBT{YqKdqCN)ce0<=LvgK&bUyw>~pvU|!-^ zNKd%ddeGkB$P_bzY)yD%CKQEKq8Nm7^7o};s534?+Ad^qy{EE4Wm84rTvhiAu%a)ik08S z11oiF=2?k3wWI}*I-|UxJ1^$?9B7a#JF98zMAns6N|ky%ddWpBq@z<%Cdd?YRw77- ze+}80sivNPx*-`iTPAc8a+W(XstDw?0G&)W^j}FpTjJ67U?hQD(vy}r)*Yq-5n4{3 zzs@xzw7hmB>RMkVyQw8Ggo8zH0`FEADAnjw*U7xrwp)qvYF+QruFhRBF{rM+>uKGv zEgGwabAi&mL}h4H-{b@0GqA&4cWhO`{>lBZ(mq)$5MfR1-gcOGJG=*RV8f)P5!)ZQ zm*;^Y6<|*udu+=i#CGDsZ*Xsvc3f4;n$;`^wwyD|={LPCR??VNJ-T2y(}u3j_QEB|Gax39bX zdsR!rgQKf7In+S(RhzO#kNzX!xrb55PP!mjPBmtRMX9l(Wlfq%2vFxgi$aX7IW~g} z3xs_QUcN!*< zHM;BPzHHg)P|LlrnnbmTKd8Dgq|%wjoUwBk#2yZ#n3I7X%o+MjQs zpII$$kM7A;Z)PaWJx!MQb77MA0gHK>IxSLx8_1XpT1pKR>FP>5@|tQ&wqH<+(w}mulE^hj=W>AoySbE#uumeA+E-()0C?=c93bVGH$Nss*IB2O}N*+ zE3%fh4zp}2oKC2r>5%8Ft?R*Cud16WyNKvQPKsM$lmn4BAU-bFc%?8 z3bY()57f|Wfedu5-y@MRv%prQ&u2z}Bc+C7M#@g9y%nm(P4i)=mU@LJ&T)lXcD4JO{iz_~ z1exe<+WxTlS_xNm^$GH|)7#I>>HgUx3j2}u zcm`0qW?yHQ2^O#m&v}lIbuX&|VEZC9xt(_x)|sR2Y4W_s3*S!OKW*%@p;@I@yz_rP z*uRuG%6m`R@Bx);k)l4@qfk3beOyJJOwX5LKgdKsZGC{Xx|s81^)OwQnAn6du=g zhwj?PpM++0%VYX?^L=4jUv-(kTt0bPRdk*={59$Z7~zBij3mOdx@YkGyGQ)>r|o}r zERi|IqpyZ{r*5P4cG|cuIMAjdzZZP!dtA(bg|Jm(t$+*>`m^WTNi4N6)|ixr8qpA! zgc{*t(81~;!O_8>)tpAF{tCk?s20=Fj9%q-H);aps z<8hhEb9kSL^O0oeqcV^%)eWu13${}IhuqS=TcDJ5Pt8~blHA@9yslSb+?!WP(OcNJ z8%Z{WpwpAjoEqYSyyB-M3NX2IAU3wumDq>VM zfqcDbZxQ85i~Q_lqs}p?5JnRuD{f@#4VigVXfrErbZhMrOX$!h)@>B)4Fv!rv+9}= zkd!m>y-h|J-F##~VcjnVvOI*cR+}y8q*z|gM5-hsL?}^?2z%2=)D}fSFO&|bD0nt6 zCH`HzNYRWw$B-A+yh`1Ko>(nePETN>(c@DJP;*2aM!l5j zG;r2g8o5}*wH>(xIAG;+7|0k03ZAkkky5bs5aOHQxwU;`nfx2=tP=T8c zOTZdm8VoNd^;%ryE<=n*RYqfb+H+FDwy$h?YU5y86vBsiXF%NfC9i2%w( z6qm+^!g8-64>6SFIRt#>#(z%~pDt!7(MJ|U;?k=Nf-~_1uGsM~Z+XC~Rc4_A$qSMR zTH-1YE=Qe*G!{FN0_CrAV;~U9M#hmio<{uFPrj}|koy%|&iw39&584By7avR%Uy@@ zI+B1#ECLAN+gW-Q6bb|=_8}*JnR7}*5o41;&?H{kwFG4q@Aq3b(gvc&TR~tcd~93E z%HRE;FWlH`32PaIfusls>?KQeK>$JwART`R5`fr;0thvLtoPR^00c4=tg3XhnQ0axKGQ146BUP{`q3)$1V- zXc>Z&J6A|h;oyYWFyxRXOU}nPZ#!bxA7a4?aB%>z$NAXx9RNb402ZkLpvm><9RdKN zasZ250GQ)??iPKfcBO^`Q!|r~!b1v)O`{NJd0sIAQh~X~c>B8iDqt z2*rAg+Tv<|6g2s1XB9MoM;d;^Ak|^*I%=3?@!gcZLa!9)5OFp3yI)lk`?*SNrLz|J zrNmiEsc=ReRZRnCs&c<4GbJkLOjXTHkm{;vfw9ye#;d9@O;pW-p#l9AOf{7^{bMC+ zO-!}*(|~H~XejaJ6#i7Nl)E=^wUz0Es)?L#fZKXg{L-y6je7-+zHrj@BJU00BcwGe~*3RaiXjIQ6 zx8Dm$^GTa=Tdd|bE8Ncv0$xwQKaQVKh>p)cZm*s>e@%ZqNtZ-g)WwZoYln5(m0VZ+H0W zUq4(+;{0cO%lo5CgnWDd3@Z2kcOB~9h}uD$mPbdAO-5!N|e(jQLXjP%R`7COSy?HzH}G7EX!mSKYpv{tRE_$ zGumlxDsTEgb1>U;m|If4W!ua!Wiw6W$ zVhjWX{a@?oVrk@PR;vr;skYoY)0ydRUzVE;6b(2xBnf8+V9=8o(xh)Jlo8&sh(u8V zLKh<9DuB$I9^k{gV+IjQ~ zS6iyrYqu)+epEFWWolN`RpkD72HkK@1OB%13}<_x<0R^3E-pSAc=iV?oJV!P*#wW9 z#ANUwI-|nxRF*ue7Wek(guyRUK+N~@tNCI-Y~yM}Rm5UaD)zFnYcw>JczEW##!iOL zV0p7&9D0)e^xI~)zt?qCpD11>xe|D zqA7d>gH1Jq&AGLLZW~S38472Sv3g}DeXy<$bv~ul#ihB{hHfvZzzjneLzr$fkv7GC z6+Gw!<08ERvT2GZ&H>VH!NoaBq+~UX)6>+Ev^Zg=kr|gTSlviU4QD)HM5;3CY;eYD z`WqY6NN67Zt&c8artM6SE;KwhG2<}g_q9y#(LE>~+&x$gy|a|#xD{~AM9w*S6Dt{& zWCcZPb1xRLPW$s32U>K9+nB{3I&*|sNVwFfU^fE#3;t53b){XsO>JuU#%MltKNU)N zH}4@O-7U6$SzL1&hM6!^iS30Elpnv`E18lp2^iuU>_j>%r3O((aD8+Y@sgm57U&g-SIHxF5y@my)-D2sgRCPAhSeSUux_NZQqOCXW6`4`!(Ou?c?5NVvqP_OI zSs6xr*?!jb6qoBnVFT3^1n@Qv%%}@0EP^_f=$#>MSGH}`U5(1yne=c@v|(La*YZ^= z4U_F#vK2oZ*1D3LM{3wPpu40Xinu~< zoU@Ja9?zZ*qbwPL@~P}6qR5D_{z+U;B=ZTENvVD5YA1RXY?tIcizmR{mGSs?I_Y-@zPHD zVGXQ6S#LX+?s0o$pOmM+udNvcsjcNCGWqg?3@`Ul=KcpVPj{__l!{!Q=ETwmuM@Ni zjPnRHPjhuMn?a8C$x8bZ=b~8DqT!a7$(c5fb+^mNZ}&27BWTeHbZEILoupH0LpzRjHcT0n_Xc4i71)8)o?e|{CZObbj?x+zkOK)?7vXyHk`bbk{ zsv_}k3slVX^erx)(5Vs8Jycs4J<%v5BWKyACpzWcaM&u_(`U~^$58vokNe&EyDM>T zVl%=kN*waK*69rp1lsiU%@ou}=tnFcvUzK^>squ%Ou zwhU;y4Hrclb)}b&xv?-@VB;tnRT3Dh?X2jL)wB-@XP;Sh$rMoz+U{Co`&X(m-FIuX zyp>jIl5A{f5!G}&?d5(-K4asTOiji`BobRKmm>`KCdA#+7ru{oJp6iu6caRbo!id- zUyDiY+IPgSJ!Ax}rk%d?Nn;bjUeRRzHsi%qBoewVi}5+-Cc>saVJ9kn8V*(c{24XI zEdGInf~97>`^X{ivJT9p=U)dq-mmH0>ARH#cSkw43jLZz7Jk#es~xwS)#StnrRZW+u@<%URHWGbvun zIepiGcTSuIh}_kdiJVqrov7dfQPCZ;wm1c5-$`CA_j{C*lMdDkQi>>Jm6^+r83ccq zVC(gGx^+^AcGF5;J@)F+%eF(9w3-xwM$_^sr>}g|II8sph?6M zuQum5H4kVC9`FQwp<9b{TJ=9OqvQ!$nV(p%YZ4LsXR_K7G$$SZ@1XPlJ1FIj=yrWa z7wa=$`O$p$RAAJc4N~kAC%7u>`x#9d381QZ5=$<(^GII2$Dc6^80Q}=CrhA{7$W^| zUwUV;io3f+OWtba&UAqN z=+Bnlxs4rP%u9AMaa%a`XnJ*794FwV@M-swAB|s@O5PoxVVI)&KrxWndB`!1Tb4@Q z9nb5}qVw8ZO0Y59XuBo$N z2>a1mmi*_@ZFMpag-M-)ZFIoEg8TKR`+`(w-bYX;WqQTspvUUaUx>Q429Ie|d8KJ> zqwZYJ=K8g!H#@F%_;clH%TW70v>xQ@iQDi_lgBWT$-tg9x96z_o%giilk*fo@Fe)y6S`pb2`2D*xLIsq z7epWSt-XNEl^;H zxn#jV$PcEc4Y^bW{iDrC1zaKg@^6 z^$qY_*3$){k`7WX8@)cCJlN)tkFNq9Ytisqw3m4?g7GcK{bg zFQ-!a{hbHOW!pLV93bJ-Ii6{xI|yzGgl_7It9f`?!~5c+mCkK zs|PY2mC>`3Wy}|!>9mjd6VrT#b!yD&rE(*ew6h^e#}^VMSu zUJ8}5EWVca=J4G3EcviJ3yPWYGxfQiLtC&|G7C!_OMT}$@0mic@lF^{2Cmh>(Il21 z>|W!qJP%&uzbx*>Us0W3B}a5C`9m9jrG7FsKmR%QA@S|aXIa_FKe_(oTkW%PodS8W zzt{HVIQ=X^NE7f|d!`%Sn2Y7N(OGOnzt`Dx4#wI<;I;7~kQvhsOr+d49d!?8XnS95 zOtT@C=E!c;`xSk8>{@g5T6@_$5_9Pyixqb%Gxy%8ZXPpKYi^tGwes{uhi9|kV0V9M zZmZLOptT9_EwDlku-~#CZ5!c$?q2xa-oXUzk-c*DFyijP-6+TUcB$W7`s_&%S?IGJ z|HE>H9J7(nRJ(g|b-`!B%Bqb?1fN~!Ey$tIPGL;DaDG8zYRz-h*>-cGkvs_p=|1sRlQTX%-VSkF1T3?~1a?eQskIlm zleIiKiZ)-9zDsr!pCyaSMAsTLtm2z4tWCBZUz{$s+*kGy2fGuTvQ`_v6?>U6Y`{u+ zFSa}-IrQ-*%F9FpzNkHB+TF9y=C{wL0xJ`-On$4lVD@-pZ7g^;DzQwTkyzQ+o826> zuk1URi-4K?pKUh3xudOUs?3BWI`=t*eH7L6xn9YIRAqOIfn) z0D)P5T`z0X|1k38&)tY;-P704{%#lUAWHx^QLNGPcbvtI>OLkv?%XwVocqi6vexW> zEjq19-{;IlJA&^Dzx3FK(WvixFV?2~E@e+fTx&3|jdTJ@+ok{Q-%U_owGof_$Q5_|0K?27#o%XZ1>I*Tn{Ejwy5-_v-xbCA zVEj>eXbXCtjl0)uLKP1&1i9`FVScg-Q@S5)8DA`7jr8$`7`FqbJTt|3?|e`;A-4ti zhAJIW2&JsLT}jov#2AMn6!pLy=l%G~P`U^gp=6dW$gyFL+F}kd9y!_>{TO9aHsQp(Ye7%21uD-I;lv~Om6R&6CPr#F05e_TT`Xy0@H7)nyizO9 zm|}??V+$wVI+^|iTc>yKM?7oIVBu>En4%ByM)%C0KyP4xE9%KNLFM6F8JVGvaF!lP zBw9DtBh?T}!O$q^xnPbmV~=ol9^s2@iFQk*2UU`%Q|x1*3Kw?siOC3&tv@A!aS#C40$h=Q3p$VU_&eP~=X*V)3ERV>3rjo(Yk>l)g+UI=1H!23ONTic z{cbnua=p}nqK3riDti$YxCqs?KMrUt$+1z=gxI>9!4nyrn8IOnzRQ~5Wt_37zAC9) zFPXXT4m_p9M}Gxh3S;^9jAp*e6s5ziSbuNnBrX}R{u!{6I|NvG(S>&)VHEq_f>WV5 z)6YUIJR_#<_1!Q7_~qGWQ%7OSZ$8*^y&9tU_Q*5BhDCY5JxKZ8(xK#fRSbT66et~r zI2U|5#-k)?$}p2f1zQZZlR=`~);CIMtuf1IQp8jmQ2iYZkrMd#w&*uXR9Jp4wm#%P zH#^lpIS+1k9txVQDJl67MlI+-Y5|p7W$A>GucG&#phdkK=%^R`jHo* zfQjjQB2ygNm|ClWQGHQJ-%;Fr51Ig)XnS@&(3)kK&%{JjI{ehzMqwwCIWQb$hY|$^ zU4A2mm+KW2!sm&{9yvTX7>iB619DKoCHO)e9X32z`28I6VB^c^e{b2uzCDh8drSgN zygCCpc;oth7s}sZ4i5Fjl{hvalP3l zmz_k-;S!wCL^RaMtgi*^?-bBo|A^W%RP#fSLDF*w!q=NLa zh|`NapE#(PE~}MxzFN!o6}$Rn7Z$fp%sP+^(TyVBAh{ z(fP?1;0+3jvjnH6aqzm?Vl8pC(e0?*MWY6LU!~t;b!i@**dt|7wpXa*>(oDI5!l-> z2`98}NP8b>lX(NC{V!!>h}s}XfXjeJzD0^}*QNPx1Y$}1Quog{KD(V5J1 zlNPqG6V5VI&TjTsVjj{QCNmme#tm;%$>mC{Dg$T>#U+I|!UkzjFEJk$9s>M|ktg%4 zWSf29i;SrknGeSsVZrUOON=Q4=iTT;46?}0upA4GDgVri(uuTXlbd5f&$4O)0gWY8 zTEYD+NtRgSHLR+Rl(TcJlX?3xXs=wT&gSjOOdKLWKx$E%R&YHt-uJ+vCxVlCt+EaG zj+A$ELg^wW=_ZzPR!zshhm6rr{lW11aczMo0J;H}O!#@`L-=K8tI1}Y zB&}f`VMj`RfRg1O85z}dk-ER-Y$0&`lZ&{`Ype>|1~%P?fc9MMsxUZmx*6uf=mp$x zB&Wo~+R5UA2geo3d8TxcxWMSe^a;EY;}ypFn{R(rhqYWq+>-_!vJc-OOO^@&Q!N*m zQ87!UfT`N0#*}z~hQnH{!enS4jx-)2N6OZD;vr+S)1UlJ%p(W(7Rov)zbT zmihZ~KrUwbmHBY!#$=hJg_0Z*tjwu7=EO#GGDn@A;_r!}%6V-f4}WlC_uTR4&nhsc z%%8W|{Dqo&qEi*-z+W}XuE<^7q=f=*p;c0q8!LZgAJIxE2f79gz0s&A5aQ1TX8|8% zx|W+`XjAYQ0{W9%-&0UAn||l0byOka`Tsm>|VBQjf=*LKKUIw%A?mOHtOT&d~2w-smFTXIq%Dc6{Oh@`UqkKxVGs(%fbk) zN>&Nx8J5r)-X1f?bxB1bI_T?U9xLAHJmMaM#&x;)>$;VRaSPyO<<4O5}B0M_4QnK0kYG!J(#`&at4bf@8C{-=4KTm8HPw^zp zzR#OIXn%O_1{lzis9ZD-ZlEl3W@mcp9HwrYaF$@zij$wDlC>V^|7-$ZRD=ugXwVW}5p6cSiVhnWzjbIXb-v!(}X_!O+g># znm@8|J!r?iS=UiLXf?;`iMi=WWhM+YndsnvPKojIa6n{Qn!L-}%J0of7AmwOeS~xw zAL(3NqN0Rk;hQ^d7ACT}{$3dyY5H_NR3j%RH?UPgsZ3gG0olgyoA+S>CHZ73Q&iU= z&1Ta`3mIDcX&IH9=sgv_F;gCDEZzgQcuvzG?K0VX0VDYYKz%$>E6ZWaUDVHv2ZYr^ zidQ{hpD0u%(o~8W_~9h3Q7rK&sD+<=Ld6&1AyQAhxd<^k9!pzhm?l>;9jd@?v{g~U zTE5?BA(e(*f~5MoXz2?HCmT~A3&56gr_|*w7Z=7CPZ70H=?DI6v|r#%xP@$55-Xn& zQ$8$BVpA%DR-;~|CMZ*i`i)}o5PcaNC_5LdNT)JJorD*;wiQ@eEEk6@bw8@p&N>%W z5Epx=;(=1O%i1D6rOqW^NI(%^SeXYy9L2er9xE@6T(%1<-^8m_L>1?g$ps*b$&dJN zl^R`)8rM=OwUm3LbYuQVu{6HS8OeZMx;9x%!5s-(rcG7+$h>i!A}5{_ou#TUM%k{D zr0`Oi3a!#mU3}sL^-YA0j1v3IZ=*u_6g8lDJL6mk%jaUfR8eOfwY+131Ce#Kntdgy zQNwr;LiDsook6XG{M9L3lB3dx<qrmBM! z!qzY8eSa7EX~zHkYzy70H5o36EbXV>xm@Jp-7qSiMssL&71UTgom7SqHca_AycJ0-(qp`+v4N*)i}R=&EfdGS&lQH zlbBI<3bJV7>JH)+;w^J4p=2JT0N(WA+OGKcZgww&W;)jwuPBVIK7}7oj9)!l$`{|~ zVu?;&*c9>_0~={D{UIRKor@1A0^k@Nv54#dZXMG$a9P@RG18i^cxOa#5t%eH;#us) zV)>Uh!UyqSbSyweg65=bW#4VJHodx6q&d8sz_{O?Mi=FykwkhD3!r{s<-sjId6pZ< z8}27^|G}yS z;hm6(F(XrVaw9dseU@A@#qXNsC^>-Q(Y+yRE2`bsOMVb^@6Z^1c@lBFXolBFO~p{W zoH?tp-BM>OXW_j)KF-xNbs}Hx^78v@cuUCCSQtp3=gA_mIFE9v)Nbp<$0tHxkTsQ>T^pTK}|7io#}!dKyTYzN_}en0hiLE;bfK=jZf`fAtrS|)R{fH zPRl)**s8c`Gkbn>^Cy#Ok3o&aD@1I5^7kghWn<}D;&F?RO_NzQmHpq4;+S@?yKd&gUX}8)mH*;p-a^7J~m@Ft@9b$xsr|0+b0> z>?!CIxKdv|{F)U8x5*kS*dyQ|thU-H9Cc0mzMqX{$tkes@WJhC`SK1D$W+M$-{snY z$Su!XBqi2Y%fwjaD;#HHi4uUYY87m~Tr*8sZrv!Z5Oaz4srf3dJZ4}zy9F?0)p-X z0s`?r=((FsW4p78jzY>69%0`m9x|_)KTqJ!WuJ(hs~Kcz6UgCb1)(_7O- zZ)$yq4BdbQeEa@cW9F*g+;R-*!>ct>l^ilwWXOH|vr;mG*&>^()To9T?pq{heJR*w4C%4Eh4|{9}L_afPqEkJ}`dAT>Dq zCA0ocppl|W0JFqk32%x|X~Xy-FLxe~hJX znYb4nO37DuG^({k&Q6=OF_0hdBuSH{1D}6x`C<1qmEg5cRjklvizKK(iDZOQ`1RFe zoCYSv)LI^Dton|TV3zgPTUgW<8Y+7pL*u7y9>j(YxPT^%@l&YjUg0M^x)o922bOHq z&Ic4UA8okJ1vF(N%$~}U2~d;Q9md@(2ZH)jSAK6-sAD=O@!=-^;HV8C-?yE9r6kFxX)p2t6iuk8sKyOz_L6v+ zm#4nA1k@EsBD+?VHg(UO6c-7i?o53J9tv~vq(%jjyJ>IEQ*aFl0=^{YFG8@lQhE%T zFgaK%KntkARzVhZm30)^%`^Xn=><*RJZ}(up-yF?nEn8}$>gJvBes54i#p1~kqT6f zn56tv6ELvcg29cN{3C2DWN!E}DD#?UmP|JlKfQT^{X~FT{h49^^s*mpe5Q0aqIhzo zs+xSs3+H>YtL+<95j#ymNvq9?EnpVT9|5cbBQ@KYN8ctcbk5kCG*qEp*9~q#mOF8E z;mZ(0|9zyJL9W&Er+)12^PQ(&0P>3-Qh*dvA1RCoO)L-k?oP*noT}JUFJ_Qz^~+4& z$&K^YE$a}j>_BAOJPV2Ffx>&H;_DAzbI;WUg_d@QqtDiC5)Y53$Aw`ghedDN@Ppla zxzm<)Xdqej&J;aa)ruc>pH|A+yWFg)n|MD8_C1zasHl4xv zrI6GPV+=_M979Sdx;}|*tvb7?9S~KOP;a%=;5gM5>C)IOu!?}zZaVQ=9Ki zBH9++@MGI3a=C-<5-xW$e`zF#BGWQ6=mw1I*DkdTp2$RJlit`eu+sMU#cSpR&z!U> zC*`#|Ua;0gOy-7YrMVHF^{Z&*%CBg5)JUm0oy-#EA_GGH=vS8YN<0Vo-r{nF*>dfK zz5)E*sac)93_mnS02rvWu#?YAfa3P%EW+q=ZPv|yTH4gPpe{5AXI1yo9E z?jenyzZ=|P*`}OwMnXk$-I~sn$xKe+(#5M1zcEgoItCXn9}Y=py*oZwww0OuX-%~T zuTc;*zY0!i9ap%(m6X1L5Dh$>>P(EX#txbreF^0<70IYxY$A7dCUd&` zSKtqu0P?c0;GnMFY%epN2k`Jl$<=ftUSoX-d1ZBfajQ5Y-IvD9Y3}(UaYi}_$FGK} zWG}Dyh8CID8npwJ>C46TI&6d5wQ_mRodYxCEoG0rMl zPGwD3yU?rdy`jX+nhHeP%lCvlN$3@%V~Qye?B&W0Ps8#q9wVw`N(5x!lVr=^WT%G% zhpBDP0dbQ0@_TXbRNT#F*EtZ#Vk7opT?D|Wrcqs2UDZ$5U-kW1;Xldif8s11xh_mR z)GYExN{PA&XRI1)h}RwxLYAlkY7Ef3${POIq=ryJ}>R6@06 z#t_2HPrSDUTQz@Lm&H&(BQV05CbFGqU=>*^EvG?a>}Cc|j&$Ev$G}7P}66ORzFa-Ce z)n;(e$l55VWu<%W<=!8ugt*wdYS?e6Y?XAg%KkE|F{9YAGIFb5z%$1tazvll>y zL1PD|>XcA{6+ntnDL#8D@K2_7->xPNL9MbG-?(E)RNavYJLS6?V_YA44N}#*`DElKRZ@=#h++ez{@V3fyzF$IE^&D*buzQ0-cnHhh)#Nr9TY z95FB-&!@I@%#JQ_dx-Zv6yUrcQK;K zqpWqd36)O^-|c5zO)QP!E6i)x_+#Gq$!it7cm_~tU0e68( zDKJ}^J*11NY!hL&d~^Hh`mC}ni%-Dzj5#}Nv*(cs*?BZ)h}$w_xIZlN#44=7^BLkHxAh~mDi57K-U({n00aAPKgI?JU6wkz^j?QdiJSMITqxR zsZUUi2f2XC6$=CI|0j(sZZXcCX-?s6&;`3zhE|RV#q$&W+qM z#yUv6sBPQJNf-6R5={5LAB4IL+BIj$l_~QaP4o>gq}jDk0`VMWRkYyo2+W5es_Weh z91JZCUw}{Df@ZfXtni52>yTZ6;A9MaTCJ832Ki!(#Um|$Pl3#+5oHpEdyC9P#qhb3 zMI~Eb>lKr2f-EeSYDa;~S~ODp7Zz-qoIc(6;?}^?A%TuYw1Ti++WHRWf4nL_-qSxi z9?QR^;Ij(5=S+vse-<0c&PrD3x*L>%nYaX>){f_w-+sO4tXu5xnQtg8ZdjdDMrqkh zqTjsFzq^-ayr0bm8xcYWK;8xKv)~eF@I%3qXgKhqALw2uX!$oe42c%Pqcv*DjeZ^( z5=FqHF_&Nu)TEQnaechpST74%h_2bnudRly)F>gLVWHBYdMkakDFoV9VcNJ(qMhSK zK#8py*^j&Tj51CkI_lYW`9|lGOBZ`Q_UDwR=iH%`2}1Wst^$%55~s#S5LZp z+SG&{aS42cfu0*X1HaytLJHK|u*<<$Qx8u?%c(I_%TZiu-59W`v%L|=iEDA|SFqMu zq-tesJrE{sE>gYJP52fn7u&w>dT~~WO|9*TCHO)Fd|%)1a1J5E;2w8qTC#v)I6^f< zUZ-6@B0fuEY%#pT%Jq6$8u|XI%2{ue#y)JS zkNPmj4wcS5MsZ4*Gt4XGRdywoAth#fLNM8TUAyymPC-I5b7Eq!zJ3l3%k%k!*uZ+g zXMP!LlMT2$s43O@A(L8OMFrr>OwFn=Ig`KdVtBk5f>_ zdH^Zumz742>833Sc!l_{{qW8$X~ksIPX^n^a}_rCp{Gi;Wd;_nx~ur07U5B> zU;Wj#uNr9e!&b5@?(C45y3DLYi zGGSe9Vw!76x+ud0v!tQwFTM`I5n;REhO8$+JiDf=ggh-qh|YYP6u@VCu*Oi=(wbYP zkAEZUfn1rVczVcKKB`NqBu-_Pcu#Y5KQNzW-R>}3wiViLy|!Wp&(@HvpgB5@WO6#b z?rciR>VDB)IqcW1cHjI9Uz?ML&Bz0DN4Y5KVt;A4-Dc&E zDm|7|ph4Q)chka6iy!5+c;jeuDRKi(W-v)yiEdNMft02Ym4i+;37$tKsZ0hVx-A-w zUHnRt7#%J@fL-)#;J@;Ez73|LqA<3~hDN1?RAacc(V~SgJcJLJ8XNs=%LhPXP5Sq+#cMZYYoHvMHrZquK@T@8Tss4Mkm^Ag-Db4>wR> zcCJ=;+iy}-k!I;*d5n;J>?sf+a0~`_IMKHIYH$pjiwALWl z4k&E8dxS)UY9x4^(|2G`sM{;MvBTd&(uT;p861&h@G{(A`>2y-5H>vTl$%n}Ykg8= z>s+%lMO5^2kf4P{DE1|QuMe0L3VY}OtC1IEiSKhlD0Vzs?SoDYr}oX?l=FicPU#{| znN(nmZ?TT7FoP;(58arrn8e-rJ)G+GQ56~fb5VSoOB78#iE#ury%M7X83_lSfZ2rX zAxpx|Ym>NV+q$RDSK}MF2~9-_+r-rD38+G1W$xZ0MdFKiSsu0mi_;|N`Goo6xcyDxHJL&hc2I zkedIYm@YvOGt;e{-kr5x^t4R_0f96c;g4|aNL{1lx@Qbqp|o8N^m01&z_h1aW`t$0a=+~g_*34Ep4@(m5j%YRSr%sPrw)o7SP?dzXVPcl;bk9houfH$dttOq3F$VOz?I|XcCtKHXN4= zQd&}N$KPCSmlR%YryM>@q%%@9Hfd*4Y&zQfYh5X%Oa?u$DI1MjX1fSJpLf`QuSaSvS`0qJ(W2E$W!gzKoN z#%5dT%M#&6y`Wd4Wyi`Az`b=?@s6&$%bDnXnm`-+vka^IwGNA4LUnUUwkzE>otHJ5#KOHc4%~U_)>O3F}Cryk39RoRIP!o%SRH0|WZfa0>PxLL!F zdGb|~E$A32B)-pY6Y;t0z~h<>Bk(#ro}gig>ny&eWF@!*o`UTMCAcFV60l0fZWesY zvKJ?P$w=q@fC5>=Z4GYxH~WAC?V)w6dMGb@ir%4@<8U7*vLDqpp1rC#m`-%SkDxx^ zUzn!-az}OevHX2LwEXoM&`&!yhOG(>c`uFQs%EuQU&nuZo!AoS_5@&uIK``PfB4ko z_-#X8zcKu%2BAqi?DmuJeJYS=F2uSL!nthI!}bvM%mD|UC>IG5w`beLpdP`S@*fgl z7WRCJrLST1vI+X~@*qn33Q+sKmSvaE8 zJ`cokRZ?S%!bZ~1c#tA>i~^O_{sr_t1hq9|C)$JnZg^mH;^tIk@~4(xO2`M>q2}%i zPI|?;bVD*;0r{`J-fD=GO_GG2H%}Pd5W&s&0+8QW4OhN;Bg^2Z%MnAVSS-#_L%3LM zY1N$5ksb=>t~HqV&UfiA$N0tU5_6r*cbYMnQqw^KNAx%8FNa;_-VGszXNb{;3!;RF z+y#zoCDyfKxo_T!J~p(}^Q##!;kf?n6~+*rZoA_UV(`NALk6scY8EH%2&<=1O`gJl zfZPS*_{+%e9VVz>X=Lzo0Q_2O)(m5x0Pux!6zRFHpx^ zMr`Ewpual>n0>yAyC5dTZ|c_q;-3ZYkOChW=*F*x<6owSUj^?9Ys9{L6ut=r@Io>o zFZw?l6foi9J~`BaVWi5fW&i2VKI#?A@XPD&Y zqgs5*qm!gYbY$3XqKky%Gu+NF8C-Hq4-V$7i*(Klr9N@3zeb6c16MfxsW=)pafp|RmldyY zp~4-eKvX$H{<7vRoUzBsBjNmt%jNpH#~RCe|DHf!ZI4fRD1DeKBuSj0x`Ien!FT44mrkvn$k1&8(SGVuh?FQM8Y4y;Bt;qNOQVEmL6Sd3 z9S>Ujj$;NrgLH+Wizt3c|4$k@!As+=y_3Ch4M!hBG>%8UHGVu0d8w1g)(6}3wHeZd zDBrY!_~BT=2pazGXLp|(vlgik=^0<_V?zGV)B?$0jv?18$ZIQyyeumB2}rDr9J5wI zzjconke>d&c&R?V{xu`RivIJ;jbMmG;y%jw(I%P9(@yhnc7z!bw<>@^N+XJx*N|5hX5>c@a_c6NltZNSfyqD{@q|#NtrHQLs=Tcn^=bAQb%wl}uyoWPGBY3X$Rv^@hYgB4JLHbj)+k9Z-D^ z%%ove|C`C5sFj_0Uk9PGhh@)imNGTJ5y4~Jj$U4$p9zZW3HKu*7oEC!U0vY3>oR>3X zO({191P2~Phh8dJj-zOxYPgs0KOe8R&SjIy21`RW0!an_u6_Oa;h_GI%6>gYYeh(l zw#9;cp<1&Ej{aplvFQEQtEc8<4H4;KDw!T1qU7czy%;$C@BH#o7of31AScCU=v9j& zA~viJfcE%|=9e?8X(F9y*}3gkT?#K8emVLPXr_|7$$CZm=n7^=Gn3Y|gwax%!wDNe zO>vz3+^(hQ?)>zHOS6cEwC-zNQX zIP}@8;Bu9UTl9LivOrma1e?*SuZ|FQmdshAR=-mOAS32UQoasFJc>|>Fc=flGgGgG zx8->bbnUcP7xCN<3f#HL6zyAe65O2N{&bT*+>cHbf4pW7!`Yj(Pg@uHB+~6Tr4OIj z@U$`)q~SGz&tYyq^Qc?)hsnAtfNAarnU9jdo;td!_%N1<6@N4bllB07)Kvdu=6VYr zOgtS?`$ypbS9{Mq1IdQvbrg#eg5=Y@bBk0~tflX+v)Fd5@Vd5PSO>Aq*766odt3W) zR=irOhcJYBV9J8nLds|al8W452)?zhonmr4 z`ClD}#&Z&8{~tg zgq5ubfq47lC;zMsDw(!Hg|?xc(WCb6@vwzMc&^_Tm@Mpm`E1@#G|hs*8BK)*FYG`9 zt$LEqwCR;jg`G3ItsDHT+m1bDw65SSbMp(ePphop@XG&a?%tDC9Q@St<3pT9RxGaG z5;xOlNk3haB^}fWo{5_l+G)v~_rH7XMh*(MZIW{|x~LH8-b;(0La-@_I`!V&s30BT ziv8H5tW!SU*G?+~4r+^Qx-{`^XU{OB`ZFv@=ft*UgKBq*_6j~*20|2c5v#oJ4?JYz z;&Nm9KbA|2VL5}g`-8;m0-^4O(M534d`(`Aw8uShRZ|@tHR6ZEXJLp&AT7?r8ikuo zft+qwEB_!qLpg(6{q32k#R_Lyg-GjhLyNlbF)Syo$M4Azc{SBidP~N#r zEX%AZTQ`5eMDw8x{C%r70CV8K5DxPFeQ6AUK~P3f@Dv&TyC4P?BaL~3r<>ZE-`Smz z74rpEL!2{4Q0_1^$=TiC>bQN>Ny)}(Iql{Bq&)-GqUBP1?&L}-KJ=E{avg)=jFfg+ zOs**pz9DGxBD!et`ZQ!52~fKPU@2`>Sqr_5c}31RU216lGth{f3AU${QCfQo|BZKZ zO+_}P_w+-pI?k}+FZv;o%CdQnr8ZW%pH{>Pp_^AE;LAJs_36dC9&QCj(-4bVf#U8Y z3`QmmSAZhoBpX%iJ=MiJ5nh6sP<2H^vA*P}d#h0E)Bb37$HHX5#aH7}6l@ zYlRQzMg9=!GN;g{Nl!W%#cN27lbe6I%hcyB|9YC6;%V#h0@y!$)wJO`{1h3qvM0YG zENVxRb`YjoaiYHL&O~kI3WdU+gHLP7o*tb)Fv1{;-UKJue*!Dh)7a2S>4c|NK2SfN zb5?l(Yx8-$!V+)>sPe?^Msp!Ncnuh+o%ec1Pd9v6-LC93X|fm?OM`?g~7t#qNI zeEb+fmLYF-;8=P2b6Dj9iCa0t`rki2vGW$Q>W*fIqY3G0ceGGfWVo}xYhF?7kvGKI zWH~7;Gu37SnH!ElkdHo7IL>F4_wP(9{!-g?RJq66kOjKa(3>$%x0zH(zbqpL^pCfy z3?iM#w^0^#p5k(EDK@*8y4E>Wi>2Eammk)-RgvXdRc8i~;_5$8ez`6;>MXO0x$K3U zzCUZ|jkWYDHC!7s53#R>8cLmLVN_u)4;nC-u@V;J8h6d00)_ zI+|4iO&Hcdnx4rW(9h3w@T{2MWPe;%uaj;XKFPu-3=4sF$M_$Y#xw%c;=&yMoXH$B zEHHKPh$1+A#_MQ(NV~8TpOmW4ehBk~Y-hc^vv9DG&dN}mSHjy+z5er@t^$EB3&ecC zd+U~-1JsbNUP?yL%|QX|G5)qI?Sr~2=RM)?ge5qT{ zBJ6;*XK&|)?4>wFBT~27Weh!VJN@ z;jy1oM~thdAr7?30Jg+0RVK5fF^R&hOv3VPnIb(w42@q(CH9n)E!lkC(b%(|d-3 zaY7@%l|S?}0g20XK(|*<1yW{oDV_o%GC1>`;`~UgCT=( zo&k*G8;6Og#Oed3%9d_XVBLs8V*v1VF0b}^=i+15$kq6IS89~l5yHXx3wTz75zt(W zgg@2g|8sb6&7WK#_z8(mfaTHIp(^=QGasa`9xYz*{;f!u!gkNtvncs=GzT_mo&vui zG!;utsKh4x(-%_OY$5cWgBqKiH}4Z;(%RFG(>8LK@9|gR!u?}>mBoUmpeIEA4_H4z zNWz;Dyda%%Wm4T%vI7J}a>+ewe%er(wpb(Zn!!U1QkZ^68%XuPz@sh1r1wv_w4l=9 zRjL(UcTeri#hq}do(kErDfq$OK zXeDA}5VMg;+*mN)Bo4KQ?vY_4#ec98yp*Y8Tj>|3cHXe&lyoGTbqCf$T|ZHztFC`-0qO(%YaQ%ji}1`{=jN;9^Pl2BV7|%Pw_&4Pzwqc~I-?L<#{pG`hqo z@!u=IjvS|dy&uc6h(n8&P9+N9I+J|d@Z@HrMie+?%$NT%4@GK_P4+3s?;R#MES3+p zhf-O>eL0>4oggd5B`HI7s+7`xogDa$)tk(yDRo1O$sbLPrVOi=J$q<)R7$)RD3(d8 zm`=l@S^A>I+tw`_j4xnq(RkeKY*!R2X7RAF4)z+akroUr+?^d|zc|SxjsLbDm)&2g z(~A!LYe)7sJ1#p;v@0Aw!Pip+=UwJi7BjsEJ`AYLS3ulE;K|Uh<1DGs*xfva#;7We z^2*vcmexH>lvnXHzK!#X+GzfwW`K1}p!MmITZciyCaH~?E4hDsFJc4&kDT~3uWKeL zpBjxmX;zl?NL}@Ps7rMEt}_L09e8*a!p5TaEwpWK$;Go0^F$co)>KJMMAx1hbEf#MPyCBgwUB_7 zqMmQzjEl__;;atD=>?(FPaG(=wBOyLHLc&Jkw~>0rM)I$lRroXGxzz zH43+pz&ZnCH=VPsP-57cl?Jw|iooc9K|w%6#7RQAb_K&U-#cz!sC72TuI#)o&gpA< zO0X)(TMC2Z2c86Q_?yIif%#U6*RO~jx{<7`O>ZV$8#SizJ#p^bIhL4GU?9^B2lPWy zuwmlUE!0{v4xvyi!1Jzvq!NY_5IvQJ?i3$&Hl#gt8x2SRO|^&MNJDTaspMvZWH8(k z{ZUx2@dnDQNKik^;)c~Oyu2-2^b>!63uSt6!Pyl;cr|ZlJne+771j{#D+n5f zKhvj<n_fa$J54S3XgmmjZ$ zLjfWuJ6#9~7z+};!8pj%5H9`dT#QaD&tWErWByaqQb9-iT>T>*Kxlx!nAS{WZyl9+ z1%7b(PWXGLN=5_y@n-;u$oXNV?rjw`1PBQ}=JU@3wjgGgDD!{102^9_->tth?t~~I zE+W9R`whVXUeEHM*id&l8z8fIf~av8u09xy3$8zAp#+a$2*=OiL%ShAsg!?(TKwRC z@RKK>%;k%*>-S2di2bQb!4P}Sg=q7m--z?ji{bd!#pV#o;ei*3v6d8282|S>8VD?i zAT&tpPr)3G{&yQ@>w!T!#&tHvbuy4lkX|B?^B*XgKcFZ6f(SC0OFf|i1bx$yWWrAY zXfk21>B7iQMnd$Msh?PgLm>Huf{752tvl&=Su^ZZ`do(xUDPZ+EKv?8T{r6HF7ccnvXR0WKg0k zuRQvf(4YFaGbD>-SE@Upj`j5;4Qc^s&+?p_c-Jw3U=02PIUd=m#9JD-;fqX5<`yBm z4I)mvKn2*g+pt*boeacs&+O8tj%qS#z1@Gd< z8-ePjE!@Em+39*WNjNerOt_X~>cnM2AL-U^h%MM>1mS1^zt4L%c;b;si&QsudW z=@#&=<>R`0_Pc*|fPehqz~NB&P4t#I>DeFPee; zu<@7}^;l|gEEkk(fLhO9(31%Lu-}Di2p+rbkwF=iRt)pfSt|)D7f(LHrxP4vKO1fT z2{8Yv@Lzi`y)_?eX~+|#114L@Tepqt9@)|}0{>k0v^8Eg9PaQP=5K9kr8K#6#&jf0 zty?uA8DVrLMg;M3Tl(>KJWrX+{sNY_t_0<&CJK}BkTo$F-}Upai%H}tahTWrFaS&+ z290{0b8YSJ6xYxO;Vt4WFYOJn$~3`b!}p&WGU&WclEp>O5J3<8f+4}@yVwb~_ghDb ziRB42NdA493#9OkRf7~9WHhuBnVCwcGo?W#Y+>u^1)BN-)DVTjEf@bzj?#=01~d)y z0;vLJD+d(|g)$81Z}Zx1fbHsr{>gWsmiH$sSfsz(Xe_9c#n!gF<+h*zP5%KoK*qnd zES`|qqERwzq~a^J4hr57tY*N7x7tM{P?MLOx_OW_kM2oAZZpd`%gZjbprR9pTP&8G5O*|tpvmz13rWKQZV`EM8*3E)^X}7 zsK4M0RGEL9IKHxFdb1toES_eAOz|ddo62&zqz(7J8 ztwVjCjpZ9>S=UbK9A132wVa%w1T)P zNxR?h3n&1FHxLQML_t`sAUn9g%!aPPlc{kyNQT~DIfz)*P&j ztf$tNE~k6Kb07EhPkcpT9^hF-6C__=m^N4!aTUw=8E^DW_;SDmANT$Uz#+il@ic(w>o%x7a%PtEEmn4>$qUmlAt6%aq60<5e5yCGe+@Y; zMDXjta(;b=t+}AqbGxNy@G)#U7yutZ+RXR!`ig|SjZUkBLp>#J=swm&tHOys(JHkT9#Utr|v9(A)4GpEKb7wF4`t za-p_9C?uzFRa5^ZK*DpO9UCh+&1{#AM1EHwqj_pIxzKWWIGxId*~A~h5jK+Yyo6m3 z*VdkT)|%U4=vK`vx<#haMsYig++cuLU&+ALx~!haT4~yK)4P?(&YtQo)>AOR=Mt+k zl}bryYfmw2{mNk|vS7e7zdkb6On}qkLoAs~EFTc1v9+g}wdQpgaw!*Nk4?5!fx zbD}j9whKwaZ(=k4$}N%G9w3lwHd1bQ3qG+s>*rknGIlGgw=yi8X=LCJBX3wIX9q@d zPS0WRl-LR?bkHaBT7G}TPEOU#RQ_U@;pA=`kJyz%Sv|kCeZ|(hJSnz@0kOY}5TUd^ zp!mB8sREe*!;l{?jl$0E=QbYgj^nq|ElX`-X;RHF{C$y!k>ge5`>YPk_R_0LXI_uo zh8^!`9&rzRu+>eAx!#}TzikJEM&VPlmbx=tw`jIEmc+c2Jl5$l@e#$Xd{H87qKy-q z)tHdM20|>Abae8F1hgjG?P|Lj-tnypby1HYUZ?|i{^(* z-nEDWrO&8ep79uR@$A#q+*q|*w2`G}#|`C`*q|KWkkI{;U$s(AiB$utm0cC747^bn zTh+Mgg@wLN1cgtGSJLGsNmYKhW^+2Z)7$Vc&A170Icd?`5SpZ!%%|>oQ0%r5+6;db z{leF0m=0PNTAwBkb&CC5FDqSp!LS_GG1^mx+G%hl?8f(P|=n zt4^+&jkl9*(xyH;<(uJ;Oi9y_OSnamBg;bCrQ=)nDg*Ri+nprx==-VbiQY%DNszW; zXUi3oMEY`r``pu+ee9Bb4JGQMUO8<{?%CpT(G}UmBE0Q3Lg@D!fg~-i+2RDN6{f@@ zXo(|BRK}%EksO`!vc@>iQG?ths%A4`^vI1!(%abu*EMI5_!SD}B&{;F!0n3aO+BO; z3+c#T%^_B{p)|+mS(OsvgN}8G(L;watO>J@Er_q% zkE3=BGL{3MnR`x=Fy}321D#~zmhk1OXFsg?9gY!M8?u_gU(DMhF$NDTIcOWQ+5&$% z{t3p&>y0&%u;llMd=Q9vG2R>B3q;j8JvB+nklI@TmXQ;-bYRJuZ^iEyWH&cr0|C_dUS|6 z(|AhJ0Lz<0*#kSm0QUq=_Lw6a$-{~NKFH@A#S_W9`Pe`pQ7PJl`Pd*}ZdAmKWoA@h zwns+@;3xqcTBv_!9XTG8B@6EGY8%NDmOiFwpyZM!g|wd%1dVuIU>l!zu!fUuY!zq0t_Y zej(_GoI3HKrTS&w$Vv-Bt>K6Y^JdKt#hj)-_pI7qZ`jI{GIH+UrW_zEiR9cNWdD|0 zTeU-O=T5dH#UcAiL>*3K0KHJoG^I4>Ql#@QBs-v1HT;7E6%3$x*|fKwynNNBV)@|5aA-MAsI<+@VPS_oeN$h#CmnNqnTs*0$=Gy{6gd9L12NnS9Pq@S z5FQT>m`qw^FX>S3B|>$Q3KOI3j@tZ6q2@gWF+7s2S^Zwi8sFn6+V6BXn*cQ!gfYNE zz_;b(_t+~%V^EiqH2TVy2P-;DNgaqx*NAXdfeG}?dB^bn!=*H}N!`Hiz@H{a0T{K1 zUSP8`M9&Czj%5<($=ZDPTClvVonS%L@T|DIENNLWZgowvj$L&iuKFidY*?*7oA0?9w2}tH z-vUfA{dVXuLf90T6Hy%LmJ)Uf4nX!tBmeRpniHTGZP9#w z`U_pSu?J>xM=*MU4C`L+H0N)ewv2Rak*A$iKigesPe3urw;QsP!^WP&TiKKYnTV=0RuF-hEoKgUH}?EwD;3O>eRf7Kp*`-jhzM zD^2Rw#^_=hUSA?+>h>kOS)_!ILw{sZM+PA|y&SgxD=NZVL{kLh%+IKC%ZV}{z^RNO2HH_sc_|C(iV^5ghcPelMkh7ywI!d0;w z>>^3x{$Mmd>?LWn^32bZ zK=!AAQ>}Rg1z?@S5kz1;T>2okDq;OU5~H$CAKsY=GVfiwAQddKh0xNJ$YKzpRMTKr zOo;Me`+VWpb#BXNPhs+sF#nCyy3{#j8rJnCT8lsF_imeK+H_goF>+Y#-n(kAv<=;l z=cbcUrW(mg9t*mm*CI~NWqkN!5`HDKxQwx2-Joli+E+?1*D58<5IIR0vA*jD4GHzyHdVd9FCBe;$;~_XzbkPviYZB-F)X4t$}LT*$(U=eel*397~4G?tmyLM zWf>NJ@*C*zl;`q4oz(VovP*u01m6>$n*1}af2`UIT?)uQ%PYSiN%Y(cA0!8Vhp;Js ztza_H9!^*|_T`4&T&s4FWx`K&Fq2onSq0v2#-atBiZHX@s;Cfu<>zC&5HE0D1?R?A zIXD#$hPC|+8`QsCpd;B^$2guW4Brbwo(Qw%7~MymF}$_6ww>6=Os2dQv1Xk7|7v-w zsJNcsT@(-Q7Th(s4DJ%#-2w#nU^7VYV8Pu9?h+gZ2~KeL!QEl-0Egd|v;Gff-M70| zt?ugT`l_lQs`s}a_BIk!uIQT#WMJ>q=`Er>+4L+0sk+Q_9)$}%r>2M5m%!&+AyQOx zP~Mf`d1>wR@C6qpxN#N`f1pirL#&QJ zNhWajcKVAvId8CJSGElL|A*hL@NjbhfGhJRh}p*Tw~&lwI?@b;MD*_?6N7-Hk<+R{ zPXLNr9}Ou{K|dlRwlQ4LRKdVH^T2wrbl8lhag=XDZ$Q@#B;$U4G5(QgKV`%Dd&WlF zf#2dwuKNWm6Q_w3BI5W0zXRb*Nq#<+Zsz02)JCwX0Q6o4FcN5~5dOWpr|<$U=i6az za7hGCmTzjhCvNt>Oej^i7=s2lf!4aWBJv}l`zXu`~B08h=O^T+s$E1izqMBlKgkn5uH?czGbouce6a~TA7b1O~XB} zi28Uz^Y$7;0<}m2F;Vfq;K?+Bk;{w>6!WZl>r1=sjRv! zt8y**-CI^wx#e#jv|7|sPNe-;2b=A;j2()(G_3caFpN=ET^e83cwu+bmr^+0YX1XT z4pAbbx>=Z$^13+DB^AQc&pke@rxz5b)@WY%U;Od!qIxMD@y}46%0J8f%!}>hnK=LK zMgRQ=0hvCz(?zmYS*vVXu!p%ubWU%^hV``(U3bi0i~9Gz>#RSNO8~!HhL*p!>ktluRt0omHG(3@{uNEBZDz?Z+HabNm&H0`yi_^r%6Ci5 zQC}0|#!+AWkKr%y-|+$HbpPk?OMN;DZvr2yx80XYK04F)~NuT2qZX9izz zz$~QqjF(TtU(@$qK7#F6FU)!>>tDJMAh4VCzro$XE#2crk>A02O^=KKmcAW+YFaj0_h_V?%}-(@2Ih3BQB6NGg0 zwi)CSytuyKiD(MQy5|Rqo(ctg0CSVxvjgo&?r!sn?hzgQ+PpFO=fv^(1r9vTf1z}VwDi3jt~M#= znFP$me_^(X(fINm-c+9So(*Vu_IgDQ3~}t<2wD7d_v~^xxD2N3A$`ZQtiDD%qboF-b^BpS~9w$fC zL}W$X_W9jPxr7W1oM17)Y4DG!?Z!2DKKMfmM01I$+pip0b7c7&UnNwCtPs{w=0Xm+ z3&N)s8Ugei3K=Z)?WEIo91ZFA7Ns-|C)Js%k@zOG{2w*dHI4k4(_SLZ)u1p1A8^cgmmvm%1ozs%9IRA)rn6zf4g9v_aU z$;;%u^Z(}}UdP|pAw-Zua(r2K|Fl#;B8g)HIyh}NjFBObA-Lw@l}C>aLp$4jvWoB?a>qQQp=GI2CMywjEu6!K8@ztRRw9UUS|D<-+I9$57ZO}NK!^mB-cWoXIj zL`=Wf)ul}GL)#@HWzL+B*PNcwWnL`PZH%1mW~o^sS_`&Jx2?=pxbdWLqy(_*7Czcl z8c7L}rfPC1YU(Ly!n+^kYD-8*)X@7R2Vknq?xvK)CYJ=O&PJF@-UKR9=9m5$K878Z z&T^#qp-$n0w;s;Iui(M9S2`#z;wrDE@v1c!*cMb&W-NeZ_g6a8P`+OH-)WpkDa06^cTBd}Nt3vvLJ)pl!h+ERg z)h@mCNYl`f-;IwVjoAX9`pGw9uzs{pL?dmccTN(2phmr)SPC7AJ#L}LVo?=HL$6!b@wkZ-|YJw0}(K~MB= z%@#zCABSuNo=}-_i)bnzBUy40jp!w*2#AJ;F9Dx7W-aQkOG!D^)bYFt7Ei;I;b8@A zS#4e!BXRC;G%{f<6&O~NKNbzbrx;=Q1^etlvY!t=wS2w5Qv0i52t#L=5MSgLc2LY4 zlV@j0SM`inwMa%S&Hj+XXYWwEHsh~k8>S;c@jv7t+ zYxzwzD+|5#%uZs_Iv|(kj$Q^4@QjAf6^Wg)cFLjMFC(Q5ib$=G#^=h#o;Phs>zj9U z5JW|s{e1EQa~sSpj9W0sva<1h?AXAv$S8)gvNm_lES*{>xT??QqHSvAXc}4sI<9#_ zNCnm`6}w_hI0gGG729H-yfSRs+Gn@1)znIRi2B;*v_QdTn1WOmk`dv!N9-oeX(kA0 zEX0zua73rAktsh|g$}CDT*GoCDqB}}Gi>j+Ex#3Rq81(`z>HGnGRB)D` z=Vu$MXr^BKT=K(UUiV}^w%WcDtD&M=)tnMv=(x%>gEE9tK1T<|Q@6Nq!XCGp&6Q8w zQ*lhsA_!MHg}9{OmHtk{A{3W4g}AuimVTdw%P2BKQCZI-6IUx);BzEMaZJM^6_+Qu zOwXc1+T$m?o{qkyM*6>GA1Aw@N;`vnZ2z3TFzllfskboQzw;ALYHtl4TE44zO_4QE zYPYJSYAO9=DQ_cdqV3zI(vYBSCR&ruPNoINxVAg-^qUW#PU@SjvJRhlYw>kYw>{H1kpb~T_9gBEVt7BF><(xg>#7+7bXGE^SjUj-JKv@n`}*> zpk!sC)~2|T{Z)&jB#^o}1F#sd@ZyPyGQogfCA<8>gL5Wwud6QWD=xbO#X82<%e zlkGQ%^ONf*7!m)qwoP*Ln+f;}*DP-_VE8f^tw(rn-vk*#&3{32$-e~N2x@`s|0$sM z0*`kffOi}r#hXsQL1{tWRpT9GU`s~`!KPCqNH5sCal8W+T<8dy-^_8ose!%V&GKdg zmac$LdV~k|O|T#%{1-@qNfSn8o=1mrVxT82^!{4w4;lCLw4GKsm>t*&Wd z@YSsPWw9t>Sh^B4g1@Yd6-lpi>O&Q7FQ6sDykJmIN=2^QbL0l7YY?F$w5TTyBCFX_ zzA4hr8E_8vpiEit)%>(<0gx7wDxMW(UT~{7q9QM!`Iw6z-LX_1AFFw!tQJLJcFO-* zzS5(akzo8{L;J?aPew-gbGFd`k78Vb5Ph~}QkKYUXpYv2+Gi+qM^&Vy(>{}AF+kCQ zH)F>lI-F!7N#P4KkvV5Yj5X5oK;h zs(+@+DV_-tW#*@F{1DEBC5 z9DuNRu@&7^!}f}v8*Ee8KSr5+*o8wo!i04fp}Ui>?+6n4Y-zl!P+uDDyi7XuUz0LMX9jRS}Lee~w#T%}`g3$|b-aR39udMu$}j zqUi6xRl2Rq78#?$D)pi07kJdVb>#%5t5T?5iA2Cc!;j5Bg31`N7=0-yLc{Op`ZTXO zV??rdLD-}_EpvUiZzAfFW>$QzAljsedU30?uC7J@YOOfbhV9>&dV?}EU{;FVZ zxct_ZStdAR*BlYFbPU4oxZ{}XL%)7coP7oYl2M3hV9k#7{n_36G}(uDc^TyMo^y1# zk8m=Cn6kT)q9h@_cy~*AvM=fKvcxC-_`-*P_p=a1zw47xb&`QLV|0Y6Y%aieCH5}W z`c{xnEj~ZC=w@c;{k`MR{UH!)z-NSgA&-43P@qQZ!%A5oR=AJ8{o)$zMDwIwFnI?C z#EMokU~Ru}29qm6nPu|!Kpat`#UuNiNELOLbd;Qi#dhtoTbOU{?JfdoCL3~ti$8aC-aEGa$Af% z4RM5NAVKvVCYzrAP;LN0p;Yy(CvQtNwGZF4gFy!r7p$gv;egT{JIC!1VM_iNqOEU7 z@M?xV*Y#zcXl5e2z?@FjQaws88b79%nPf?mm!-t^v&wX8pUSLp!2ynF_~D}r2h|f* z0g(^L$ZGFQZFu#&7X&xC1!0d(5~QU5Kh?zK7P>t)1(4Ezr0oAz-7zQhj6l!A*UjBt znLFk6l=4u9f;jpo;sOA!Kku|WD91X6;FdMw2`1*rNF#sKkhUmu75Dm zts(1i0M#)(-?97fsBXa|!_%jN7HofpX&da$ zl>wv_WXXAmPR~Z>N8F*&2y!&o?^U=%BO3RG3-LF*SP;8skxA z-irh9qGWd5+MgdBy9WgTlJeVF6nHvK$6xgQC+=MwaCsZ(?M&29?H@LIQdsyAFR7&IB8ZsnX&6$-L0ZGL7z_sUMRyIQRD@y0;%r7O5Zq55EP{y;lG zjJ0fn)mC%f=hgHC#Fz5-lf7E>za$Anl_BK4j`+gmS7Y8&^LfYnKGPl}$C&87t}Lz754bN`pU7jVi!Pw3t-yFj0%I&Gd2iUm zXY6pH45=LDU#R5k1QeNJf^$M}Yy?xzFnlc%(#&&gxVPspO?47|$c+XPzK8x&u#qJP z@E_Q{lPk>{1+x!g&%+L11L7L%lITvUSQ) z`Q#F8Y*Q23Pn0|$(NAC%R8TpnbCD; z1YDsNNf&dU{B;yMk4DS>&aR`NJF57pX;q(#S@<&rs$YdUuq&&I57=-zO1&L0a}#i9 zYWcZv$EfOSETz~4`!KL6aM~6@y$HR^^MC8vSR?~ZimX+GPw6BhG-jw+BPQ@er>4)p zg-^qsN#@7TIcl=M80HTmuwbiWwPn}^k>DUJ6+3UTTnaem>~mOJ)lk0KIj

@vA;0OcAvqx=wLkMK}l<8vpY zd=Nsrq{Q>^o*f!*o&*5ZHgqkuMZz7~qcc$MZxaxWH(Fv5#Ws0uvPFUi*`qp8ZWPfC zOzQ91|7VIOkCp0N^5u}yu3GhxX$fEoBS6@+k%_t!K$ znRVf%N>)?+_$1#%RPs(jm(b1MBY-_9&h7r-OsWR62QS7G>+3z{m8UFDj62p>m0{72 zdzqaU_Zu`dt&wpKmj`E+J^+mb$sKxITBV3SX>b#o;L#sPy8ARb&7dZXcU=~@jc_;8 zf?8fJ@Py^t>LA`3?l%vZRw~+-uOibm)CYc)*lkDK^mYJ6O}Ame4tct7(F17a_fr8B z%A-#IB6ZzVJVwpfSJi&YB!O4Smz@rn98|7J*h);@TheIR`cFcE>+5o{b=WT0+Zf&* zt#M(%By5-V)!r?_Z8jBrX^4qnV;t%R^cr3ilN(jC5f>=)!| z{@q=0eb}K|g#Pddh!%}25c^vaXVQ%n8dp4a$B*o%DE$aYa2%?j6<#xT2ndhhuj!U; zy%8}VNo-hEqOz7k$$B=Ce#AGaEmO9&@$JX+mNs_I+^0E+5n>n7AftpQzMG9 z=}7AHAWBUN@glLCU&2+YbyJi$Pqb12yO{MmY|x?5*YqjMj=nPiv=@|L;?z*a^C{s4 zsQ|@8HI$cfVf48AsXUw~9;twR%#lJi=t1af_Eg?;G8xl2#X@UG{1lqqn#p0R^^6n; z4U|TTVoyL2Kvz`v%-&vsgMuv(q_L^TfhOH;|LMAbM8PTSr42gfb z=ebW}db9QW6sS*PR=RrW?`4yppOeEre4ALMBD#6n*1 zVr+%)mG?{2_>7F^7}`l8cdb+3w{5&H+3P^Q!*~MxE^3z(4Itkj?=Yup$DWS0{WA=R z6@M)`Fl|Mo?eA5ie@Y>7tAnF48MxBP6a}caERxRIU(*OG126GhH`}BxQhW~>QX^@K z^n!88^7qWA1rjY=O`(7poyeMr>y=J{^*%pM9B+jBE}HJN3`}}DCiXoMFMP7}P$3xn zwWL763K#(hSO%YOt~=f&Zmv6|Nn%}|x7?({UEpNtAwm4T+KT@8_I}T;AOjDb0a<%| z$G%%s;DY7P1S)!bmtqS^z~QF?_C3B+v4xC4tR*)n+yzC4m`{jz^!N!pWSzg31L(aB z{=T_xck>kD9X?J91EKVr91QY)?;Qllg}>TJkayNNDIBDFwap?Be$^xvZRb(7-NF?y zqsvScY9(W)2<4M8Q-SKpm?=Q%Wz3YJqB0v&&~=#&S!j;Th9s0SqATZc1J9pyy2WSz zg=iQDRHAK|O*n&}q8_ElBuk%MfUBs1Qd}0QsV&9K^6URGv%mZ#V;3IMFB|H-g{@~D zCOeh7xRxZBkdsWqJA}jH#n11=_xKnzaWByav!W%LhI=S7$?^a)h~Cc7`qhPH_jh|P z@c&D+P24JK1a$PWD_B+M2}+Nnv^)pO+y5^!`+-%f1`|)2Kz3SYO@0(O`*1X(EBVkN zqAT;TGNLQ-z4N-#51mZeV&$Tq9N3&`=?{}AY|$kIm{PrwC>TUfrDeoF8!mi^=*nxX ziUaEU+?nG;Eg|M}e2(#`FPYxNo_wTPQsM{XawY>mil#0=;Tn2AX^#g)_F(-z$rjoY zu0_o#7rG}Q#TN{j4H@XTjG5ZIt*)fIaL}VuoMG{xY4=8`z=i6Gp}>XV$-Wu=FrUjr zo@-qKsv@(Y3~hS#qhn5Cws){sn1Zl$YAX6NhiNJ@GZkYiG4mBCXKLDy3_xHl?l#do zd;}$uOTV$xaS&nqQ%rZ$KG76RmX4YAatLqPN@7wL59Fzt{=d6KIR5o%Xa>_ZMk4rv z`y~E2Td1+*$E5DnQS1i?nXV+}HCoeF|4?ybxyNo<+UKJ6nvs`7xXNb7F;F{F&s={s z000$-Ld|_)U1MuS1t-gxM26h%4N=aUEj0P0JS}C1a^G3uNVwc9qnx+jO&@q#$`7qw zhV=t$R{4_>_7B_rY0h_2?lo`M;yXlL zCzLR2#)RZE61Zm#Azv{~tIeEVBTswR{lbPeTb$oSbl+*>TOg_o*fS;1T>HfPLDU3& z(htKUAaYjsFw-p!TpF6AC$OmgS>pY4Dq#wWl2NJ1su98ixQ3>y|Bm)h_+*b1r?-1i ziao2eM;%C0pf57w642`0$>#bApGX_hFn{rsb2eTN1JT;r8lv-GIvbt;a&=u~*Hj{& zA4IEjqgtb})h_#_=A&AZu;Xs}q*9_QZAniGB8-sTx&e1=pBgc{@cmJK1q|^`sp1gf zZPUmaV|!ti?pu`QWWB(_>XxUt`Tw>u@8=_4E$g4gxgSs5q3Q1NsCMzt+9fjRBENYP zCK0}~A&(iqsH@srDLL1eX}Hsvxw24&32D89pph1swSXAq)=XuyZjYG~=P z27PT>G&Mp!9+##|5v`)r$v_TA8RKG@E!DWzzerJ*?)^F%*ca#;Gpk@8+NaRCp;a1^ zfGqD+eWfLE1MN9`GSGV+CeMGJmQQ@cWv^5j6@VE5pW(NiK z{W`ur`ClyX4RC^kD?f&9`8l2+$N~rykeVKs8BZRf<++>LhQ&OF%y<&1GfeDelDG`*x3 zk(oy<6|T%J4#qk+2(sEwIE!+|1DP}|{~}I|_pStFlb>-GqYdw8IVUS*4b#*TH2${P zrw8%AQxPZRttA^W2dAd5bf!hJ(`lo+NV@|p$9-0;JJ+}bxBI_Swm{`kK397AUz1H! z{Zrz*FX=*V|FBeS)dEJ+J4K$m^7RZLxj2YC2GRAk-NY`gtS1t(&?{PJ57rYHS?C?D z^EXz909oiYt+N;3%QJI9DWzL<<KgxEI$7;!oEC+oC46&B`ivf3TiD_@ zR4WRj@&fJ}sf-ETa;5RqE$V#a-iOYvRHPZgHvVk8-Rud-7#`eN3{@OWL_i^CFtW@1ce~KhpB#c&jF5$#16+^zF41Te@iXv81!?*TzK)a&&?)-FBy!aJ2=mq~c zpp2&d3CHY5&eLR<^xQz)1Iy`LKsAlfGmcq!&J$%pgzESH?q1b1Oih^&;@equS{?8N z(~!78dhO|j-Uawfz6w&Y-~99KKCZPCNxEYOT?Iq`tVH)eWtfP&3~mb=(6m`RRuPEm zelltDTgWE3wGvGoGnlo!`iZENSZTBtdw8!cY{LbojC7UObx?bXj7L{Wua z(;rFAl2uc57)OsQXGhRE+uw$#pBLlE#jW$6sQVhyn^%>jE0uRrDgGIkP%n__t+C9S z_rHKAUxFvsC*3AlQ3oz#LqJ?Rs%k7O_9g;;(91~Qe+B5?Kdq(6%(QjqLEoKB3@Y|p z(P-VduX@G?|KVII4-ek(RJPC}WnHoToy|E|+;UMjF&VF~{eg}4gY2dhJfz8b_4!jY zPkm3-(7%uM$`fjzoGMv_Ce=UzcK>W0IhnWvM!X$8|LL9VVYD=iDZ%curLeeP^V8yy z*7Rj`(=>BEMyjlkbB7WO*;w=xM(H4{qL-_x_&XDfXwp`3qBT#2oIQ5IEB%GYJ!_L8kHMzI!&#(+gQjOsDyc{ycg+zJ)0-=a$ zD`zbdp$O!hvlbB-JSVn5wSQ|?e|I%k52CHR?mPLrKi(4@4qFOht=lvYw})WX+_?o> zLmmknDC5+#yy02J!M;uqv`;{hZl~9e`j^0~RWPOTnPs?z*u%Sz+K()(|9mu^#ZcdU zemaX`egc9AoW)RT?&?GM@?N8w;yyseZGuuLA<2Ne4}>DQ^#HT~>Y4zUA?ZB}Y;l^s zy!#wHj4!_XbisUiCD6ZoI1GGbsN1~CfxmHbZHmL=yjic+e|`W4KZ?EAd`~jg4Ua~V z7r`n19;i#v{5$%4YEN5N2e$OhE5#xdL_(4Cm~_`Ke|DmNMk3(;BR>QQ)B;)u(P&l6clzA49o3@lL{HvU%O0WoCrd9s@INtS3a(hHZ|6!F%8AY3#yLXLHA?Tmy}T#cd}%89oneO{z$$%c3k=8&p%FL zz4FTlFixtu;_%xpd@s;$nsXMj0~ychv)#ozOu12c^hbu5?W0QNJBGXHMYV`r&e6IQ zTYMY{TYu* z^fJEZ${|@3fIpG*vtHTMsLgA1_HYGSEqRQ@^hx)XI5?9*NcfA}+*%rXMb zl{cUE2Xm+w9@^Zpf==>C!}S)&1J?vZz|KhTQO-PhnBD`|X8n0DFK8WoB&Gwkb>%$? zbXU*G>*!jT3gZY9ZSpq z6qzMHj&=^ft$kXivr6uan>-KkKYtbb2b1U9ie+}{esSg=u;q>g4Fe1xP@KBg-aJxn zCoMj$d5YcZiM^fppJRyKyZE0+`=4j|ooCIP9JWeRuae)j(TaKhnlw2;b19n?9XrU~ zbidd_t4*3*Up_cLcK5z%!xQt)m^9gO&pT0?1c}{0irpiM-G_J4KCHbbUVL0zd|m@QtO1_ZydT#5{U6T`THRWXN$n`_)+@|h zyK8nJ%g;jJXl-ZxRZ_oNJW3dnTVy0MHc&o)E`(bgI6nS@)JTQ5-oiah)&6pw#@xoM z8`3SA@s{y(iwc>Nkn>?*Qq22f6R{uGL}+Z=$YvJW(Gz&L&_|ePK(oAkrF|t+rB+Tu z1K6@Nw8@MMHPvM((ZJE85#xI%~WsEr_%3i8aevL@mG{xPGE-{NYj8Z9b>~z9t?Hz_*uVH9U z_N#{J+A`=2x>|U+0_jTOlz#g?+h~R;{`L#E-9SqD`p7Wz9fM`$y1L|rR6B8xRI?Rt zjw;cQDDh`blVDGC(wl4{|NeNUWRU$~|KBUqA_~eP?hpIEx|JV!%NQQezYz8@wv6pk z&D2h^{KMc<9=UHo)!(<$O|i<1E5#X|^E+e7q^sm*#;pc*q-Ve61%qz=*;Goerpdt#s{bnGX*QxrX_g z+hO22@MatMT^~~BSOyQJy%uwTC(EDaH%kU^!8>KC4X zpf04d`7H*85S%wILORDHPkgIihs7`=J8o zF;BJl$*1829tkgx*IJoe9i#=_*aD5xNEgXkZ`<6)16Sio7x7!Ay?#pHyhV%jWF9b6 z=@c50+1iYoKhAD@ST!le6!t014@Yz!^;!>4QSLb{NpOd5n#JE+!K1wjf(rTTHuDm^ zo!=MCpia^FO|BF^Da(~~@jW>`@+OV^0j4d}y&TI=@UF*!aX5GU-bP)0I05I=9TYEXL#r@`*jCq!9?rC#- zOU>DgbtAsYqVi|lb?LwOP2TBWB8yIzKA~)$_?xC5xQ$ubZcQw9X@cdh*`?Q8t}WD& z{7Au-P4+pBR6xI-Op$m42T+P&{*l_-ayP)*RHc+o?&rzFM4KRAZ7tGBnQwn(jJjhS z&BN@qC4hY;9jyyU zJm71*GHi^up9Xv(3kh}#4>oDI1BH`f%Leip!rlMiHJ*sC2?a<$tb3D$Vi(X`*Hba zNy%|Ju7rv2BysB>p4T|>EWGR0Uc)9kC&JARk|yulu&&!$p8mbxtY(jw|6S?FUgB|l zTwgo-#O~jp1HqF4OcouB&zCv==gwmH)_K0s|IuBSqysjMI5l}V&RP`=Rg8(MYoyZB zXI}^h=EkxAo<+td#zRgB-=xg73!6S3kl}B9q|O zIQwMvcEmtH7_(nItakB{Ur1mnEwg)n@Q=qr)u-Xe5S==Zpf^x|B)xlY(0qUj(DZHd zO9?w*|9#@(033J)_AwBo9gJw*p&zv+zzX&1kNVW`ehcH|8--_HQVskANWfM15 zQ^|p{OUN`{X%o$gE%Hlh?YJ_5#F0a{{5LGP;#_JWs&}sBls>3lrwMpm8B$5ZgANHW z+6d*pDQF`cI>4c`a;i&h!a%a!%?4Ft5yt~itJAduj$4(zO4Hav4!QOFo%*pf( zXW^16L~z|Y_t<%uqD)h4Tlj{F@y@utrrEyi2wue~#!q|N`@=^1elgg%+E@fe=3l2k z9~^eE@iv%xm9*NRui=3J>vf*WCr$j3zb85!xB-RHlS z%|e;jS_5R5;3iijR$ddmTb$6bpY22XW7c^hPMxLB81yq7YFYnf>W$juu^?VQRz3}3 zC(^zao$_{nY)q(hzR!xbUX7Y0(k3sjId=17mPI?Jxnz}T>M+HUS$*4}slcd3ROZ{p zwUxyDONmrU2iq5bT`8^-c%;JiEU5xfpZQX018sVmgwdu8aGKBHeh~#~N`zarZ{<7t%#g}~3;@FcpuMu|*L9IbzK;%WP z!eN(DSn6}mPR_loSMV2G{_8V}FKwzn4@KtWqNFa2d72DshneY@jZ!4xL2t>pkRJDZ zg{JVd_4pS{$5RkdvOK@@~&6!Tg&0%{vJEzUDwv=mZB2wI-3aB#vm_k*M%{CWkFU^<@xO z%n%Pj5g=R*n7bwnq~G4Tv6&@Ilri|~GEs)Q$H)%d1L>&mNV69u-{0+<=9yfrUCF4= z5Ev^DTH{pQTb6UfeYdHiO9I=iPZ2-@ie6 z#bP&#q5pGNmIFh&)-p4-pF%Ithi1lso}rwyg-)-7WCB)O%;)TaOj`l@AAMv>5v894wN@eKQJr3@cEwUPVHoSbh-VBuai@2RICHI z!3T+z-Ws241AHQ9OoVpFhZW&28Hw_4Coa<)8nX86R8&8t;72ZAdRP#F6W4H`cJ}rH zdfm#U%`40j<#^;KJFq1oY(MBc*o{iCm*~)x60>_DbXcxC=P(V~cSR{cB|G@hgVUyU z?5%ctx}*WnPgS+uSiE=9 zylw;=n=Q4&ZIzbzNugjoOk_HqEb^`i|Gd`K0yz`LY%Q488YrYn$-+~Q|646RN5PoWDCb#z^qEDl}G=**b8>_lWX-qP@ zE9G!|k;#)OVqG&%=jW<$%SV|zP;6IPGrL;6hgknH^^QvmcDlyRU^~u$RQ|>VTelOC z38}C7bC<@hbKJFpPSC1)_}qjk-BT+g&abIUN{S9T^Is9~wKF~w8Pj}|w8_Sb-}UYe zZyWD9X7sTB%JN>DYkTy4Fys7Xyw;Y{gC?_{Ix*)4iGE+xcIP9GkfF+JFu2_IK(I0y zgbt%f@&}ZIJhu=Rh_W@+N@Co-(;+RcYoAtxm>JR*GYz`pud$s$+#8_bF zd-?Q05m1Xm73I7k!$AVBtN*rTQ!lN~ZQx>@TI=f1j&*|Hq%;i(M z-KxZApHgxmj6QIb<2qA0`WR=SyCH>RZmf{vZEjIfK-V_-YjU>6af#GVMHweW)2KJ) zQO7BLsV0_(NESN zJE`R|ijDcrl!z=*kI*XY&QDmd1zbDkT{spNENJTV)P0`hYE#YNoX~;GM$ovndt#!K z7URaH3j$G|y5SeGIF3wiE1Lp!C&O`J{c-j(@>}64pRPfRZ&i!1NCbX{6f7K7;6~<{ z-k=8W85%*z9F2WR96DQdUt+4PZ=k@M&vPgJ>biJobIf_IXWR|d*%MOK1gYK0s-&F? zV3jhJ(qunOyvUq->>O_3Td)pD+-ONe^0BjQ~)gQpa)644SBb#^~2(j>mPa9On zY*Tuc-;k80LE5v0@AYqy(5AZNO}-tq)~p;0^h>JNa1^}0V>`9c`&r!FsTomePs~Mw z8%i7BvW`FuSUqA`%)2~u-;sYPxHD0{9&Vgn$@8SH!;$aG#GYk*Uy-tCAZPV*DV6R7 z%$>fSxde=4W|s3iXrvH0h5^5_l4xRK@*Gd1 z16x^S2Obf_$-Zu07i8BcT<;S1NzhE>K!rUL;G;>>1Tvuf1F2B?#z9ke=XS{!8^=BEqblk`q4OA?O%E&=KTeSPr?D zJG^hW${wzg8{8epYTNukGG`$q>CKfKgv<`BzCr|RY9m)?qU$|Q#N z5AWJn#MY`N>`x|yE2IZq)*LAknA7ht!3(Ca@D1C~t>$mxd_v(WuXK!$vewN<#3zOw zIgJclDdo-+?eA?q)SlNRi761*f|3o0Zur}=Qx#@hBQB`%3&H6RRrLZ}pf zQDl#PQ}!-U_p?}*B)p=4Fuas7_20ol{$TFbmS-s+Cj9Oe8vu}F1prX~4tClgLv#?o zgL%L#U2V>CRAc2dc)~bk!aMeNG+6R)4)OoDcGfulPe5eI(-*%f!kM-?tk-27jmBTw4ai&2@) is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/hp/end_game/end_game.srcs/sources_1/new/alu.v:14] +INFO: [Synth 8-802] inferred FSM for state register 'stage_reg' in module 'control' +WARNING: [Synth 8-6014] Unused sequential element stage_reg was removed. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/control.v:42] +INFO: [Synth 8-5544] ROM "regfile_regwrite" won't be mapped to Block RAM because address size (4) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "regfile_regwrite" won't be mapped to Block RAM because address size (4) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "pc_jump" won't be mapped to Block RAM because address size (4) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "pc_jmpaddr" won't be mapped to Block RAM because address size (4) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "stage" won't be mapped to Block RAM because address size (4) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "stage" won't be mapped to Block RAM because address size (4) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "usermem_address" won't be mapped to Block RAM because address size (4) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "usermem_data_out" won't be mapped to Block RAM because address size (4) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "regfile_data" won't be mapped to Block RAM because address size (4) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "pc_jmpaddr" won't be mapped to Block RAM because address size (3) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "stage" won't be mapped to Block RAM because address size (1) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "stage" won't be mapped to Block RAM because address size (1) smaller than threshold (5) +INFO: [Synth 8-5545] ROM "clk_out" won't be mapped to RAM because address size (33) is larger than maximum supported(25) +WARNING: [Synth 8-6014] Unused sequential element stage_reg was removed. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/control.v:42] +WARNING: [Synth 8-6014] Unused sequential element stage_reg was removed. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/control.v:42] +--------------------------------------------------------------------------------------------------- + State | New Encoding | Previous Encoding +--------------------------------------------------------------------------------------------------- + iSTATE1 | 0000001 | 010 + iSTATE | 0000010 | 000 + iSTATE0 | 0000100 | 001 + iSTATE5 | 0001000 | 101 + iSTATE3 | 0010000 | 100 + iSTATE4 | 0100000 | 110 +* + iSTATE2 | 1000000 | 011 +--------------------------------------------------------------------------------------------------- +INFO: [Synth 8-3354] encoded FSM with state register 'stage_reg' using encoding 'one-hot' in module 'control' +WARNING: [Synth 8-6014] Unused sequential element stage_reg was removed. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/control.v:42] +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:11 ; elapsed = 00:00:13 . Memory (MB): peak = 750.871 ; gain = 454.805 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start RTL Component Statistics +--------------------------------------------------------------------------------- +Detailed RTL Component Info : ++---Adders : + 2 Input 33 Bit Adders := 1 + 2 Input 11 Bit Adders := 1 + 2 Input 8 Bit Adders := 3 + 3 Input 8 Bit Adders := 1 + 2 Input 2 Bit Adders := 1 ++---XORs : + 2 Input 8 Bit XORs := 1 ++---Registers : + 33 Bit Registers := 1 + 11 Bit Registers := 1 + 8 Bit Registers := 15 + 4 Bit Registers := 2 + 2 Bit Registers := 1 + 1 Bit Registers := 4 ++---Muxes : + 2 Input 33 Bit Muxes := 1 + 2 Input 8 Bit Muxes := 16 + 4 Input 8 Bit Muxes := 3 + 8 Input 8 Bit Muxes := 2 + 6 Input 8 Bit Muxes := 3 + 3 Input 8 Bit Muxes := 2 + 21 Input 7 Bit Muxes := 1 + 4 Input 4 Bit Muxes := 2 + 7 Input 3 Bit Muxes := 1 + 2 Input 2 Bit Muxes := 2 + 2 Input 1 Bit Muxes := 52 + 6 Input 1 Bit Muxes := 9 + 3 Input 1 Bit Muxes := 11 + 4 Input 1 Bit Muxes := 11 + 7 Input 1 Bit Muxes := 1 + 5 Input 1 Bit Muxes := 3 +--------------------------------------------------------------------------------- +Finished RTL Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start RTL Hierarchical Component Statistics +--------------------------------------------------------------------------------- +Hierarchical RTL Component report +Module sram +Detailed RTL Component Info : ++---Registers : + 8 Bit Registers := 8 +Module seven_seg +Detailed RTL Component Info : ++---Adders : + 2 Input 11 Bit Adders := 1 + 2 Input 2 Bit Adders := 1 ++---Registers : + 11 Bit Registers := 1 + 4 Bit Registers := 2 + 2 Bit Registers := 1 ++---Muxes : + 4 Input 4 Bit Muxes := 2 +Module pc +Detailed RTL Component Info : ++---Adders : + 2 Input 8 Bit Adders := 1 ++---Registers : + 8 Bit Registers := 1 ++---Muxes : + 2 Input 8 Bit Muxes := 1 + 2 Input 1 Bit Muxes := 1 +Module regfile +Detailed RTL Component Info : ++---Muxes : + 4 Input 8 Bit Muxes := 2 + 2 Input 8 Bit Muxes := 2 + 2 Input 1 Bit Muxes := 4 +Module alu +Detailed RTL Component Info : ++---Adders : + 3 Input 8 Bit Adders := 1 ++---XORs : + 2 Input 8 Bit XORs := 1 ++---Muxes : + 2 Input 8 Bit Muxes := 2 + 8 Input 8 Bit Muxes := 2 +Module control +Detailed RTL Component Info : ++---Adders : + 2 Input 8 Bit Adders := 2 ++---Registers : + 8 Bit Registers := 6 + 1 Bit Registers := 3 ++---Muxes : + 4 Input 8 Bit Muxes := 1 + 2 Input 8 Bit Muxes := 11 + 6 Input 8 Bit Muxes := 3 + 3 Input 8 Bit Muxes := 2 + 21 Input 7 Bit Muxes := 1 + 7 Input 3 Bit Muxes := 1 + 2 Input 2 Bit Muxes := 2 + 2 Input 1 Bit Muxes := 47 + 6 Input 1 Bit Muxes := 9 + 3 Input 1 Bit Muxes := 11 + 4 Input 1 Bit Muxes := 11 + 7 Input 1 Bit Muxes := 1 + 5 Input 1 Bit Muxes := 3 +Module clkDiv +Detailed RTL Component Info : ++---Adders : + 2 Input 33 Bit Adders := 1 ++---Registers : + 33 Bit Registers := 1 + 1 Bit Registers := 1 ++---Muxes : + 2 Input 33 Bit Muxes := 1 +--------------------------------------------------------------------------------- +Finished RTL Hierarchical Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Part Resource Summary +--------------------------------------------------------------------------------- +Part Resources: +DSPs: 90 (col length:60) +BRAMs: 100 (col length: RAMB18 60 RAMB36 30) +--------------------------------------------------------------------------------- +Finished Part Resource Summary +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Cross Boundary and Area Optimization +--------------------------------------------------------------------------------- +WARNING: [Synth 8-6014] Unused sequential element dut0/cntrl0/usermem_data_out_reg was removed. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/control.v:48] +WARNING: [Synth 8-6014] Unused sequential element dut0/cntrl0/usermem_address_reg was removed. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/control.v:48] +WARNING: [Synth 8-6014] Unused sequential element dut0/cntrl0/rw_reg was removed. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/control.v:49] +INFO: [Synth 8-5545] ROM "clkDiv0/clk_out" won't be mapped to RAM because address size (33) is larger than maximum supported(25) +WARNING: [Synth 8-6014] Unused sequential element seven_seg0/mycounter_reg was removed. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/seven_seg.v:122] +WARNING: [Synth 8-6014] Unused sequential element dut0/pc0/data_reg was removed. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/pc.v:7] +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[10][0]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[9][0]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[8][0]' (FD) to 'sram0/memory_array_reg[10][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[7][0]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[6][0]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[4][0]' (FD) to 'sram0/memory_array_reg[10][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[3][0]' (FD) to 'sram0/memory_array_reg[10][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[2][0]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[10][1]' (FD) to 'sram0/memory_array_reg[10][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[9][1]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[8][1]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[7][1]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[6][1]' (FD) to 'sram0/memory_array_reg[10][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[4][1]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[3][1]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[2][1]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[10][2]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[9][2]' (FD) to 'sram0/memory_array_reg[10][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[8][2]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[7][2]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[6][2]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[4][2]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[3][2]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[2][2]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[10][3]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[9][3]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[8][3]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[7][3]' (FD) to 'sram0/memory_array_reg[10][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[6][3]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[4][3]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[3][3]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[2][3]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[10][4]' (FD) to 'sram0/memory_array_reg[10][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[9][4]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[8][4]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[7][4]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[6][4]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[4][4]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[3][4]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[2][4]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[10][5]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[9][5]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[8][5]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[7][5]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[6][5]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[4][5]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[3][5]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[2][5]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[10][6]' (FD) to 'sram0/memory_array_reg[8][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[9][6]' (FD) to 'sram0/memory_array_reg[10][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[8][6]' (FD) to 'sram0/memory_array_reg[10][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[7][6]' (FD) to 'sram0/memory_array_reg[8][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[6][6]' (FD) to 'sram0/memory_array_reg[8][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[4][6]' (FD) to 'sram0/memory_array_reg[8][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[3][6]' (FD) to 'sram0/memory_array_reg[8][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[2][6]' (FD) to 'sram0/memory_array_reg[8][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[10][7]' (FD) to 'sram0/memory_array_reg[6][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[9][7]' (FD) to 'sram0/memory_array_reg[8][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[8][7]' (FD) to 'sram0/memory_array_reg[3][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[7][7]' (FD) to 'sram0/memory_array_reg[3][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[6][7]' (FD) to 'sram0/memory_array_reg[2][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[4][7]' (FD) to 'sram0/memory_array_reg[2][7]' +INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sram0/memory_array_reg[3][7] ) +INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sram0/memory_array_reg[2][7] ) +WARNING: [Synth 8-3332] Sequential element (sram0/memory_array_reg[3][7]) is unused and will be removed from module main. +WARNING: [Synth 8-3332] Sequential element (sram0/memory_array_reg[2][7]) is unused and will be removed from module main. +WARNING: [Synth 8-3332] Sequential element (dut0/cntrl0/instruction_reg[5]) is unused and will be removed from module main. +WARNING: [Synth 8-3332] Sequential element (dut0/cntrl0/sp_reg[7]) is unused and will be removed from module main. +WARNING: [Synth 8-3332] Sequential element (dut0/cntrl0/sp_reg[6]) is unused and will be removed from module main. +WARNING: [Synth 8-3332] Sequential element (dut0/cntrl0/sp_reg[5]) is unused and will be removed from module main. +WARNING: [Synth 8-3332] Sequential element (dut0/cntrl0/sp_reg[4]) is unused and will be removed from module main. +WARNING: [Synth 8-3332] Sequential element (dut0/cntrl0/sp_reg[3]) is unused and will be removed from module main. +WARNING: [Synth 8-3332] Sequential element (dut0/cntrl0/sp_reg[2]) is unused and will be removed from module main. +WARNING: [Synth 8-3332] Sequential element (dut0/cntrl0/sp_reg[1]) is unused and will be removed from module main. +WARNING: [Synth 8-3332] Sequential element (dut0/cntrl0/sp_reg[0]) is unused and will be removed from module main. +--------------------------------------------------------------------------------- +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:13 ; elapsed = 00:00:15 . Memory (MB): peak = 750.871 ; gain = 454.805 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start Applying XDC Timing Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:22 ; elapsed = 00:00:23 . Memory (MB): peak = 751.156 ; gain = 455.090 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Timing Optimization +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Timing Optimization : Time (s): cpu = 00:00:22 ; elapsed = 00:00:23 . Memory (MB): peak = 751.453 ; gain = 455.387 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start Technology Mapping +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Technology Mapping : Time (s): cpu = 00:00:22 ; elapsed = 00:00:23 . Memory (MB): peak = 776.656 ; gain = 480.590 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished IO Insertion : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 776.656 ; gain = 480.590 +--------------------------------------------------------------------------------- + +Report Check Netlist: ++------+------------------+-------+---------+-------+------------------+ +| |Item |Errors |Warnings |Status |Description | ++------+------------------+-------+---------+-------+------------------+ +|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | ++------+------------------+-------+---------+-------+------------------+ +--------------------------------------------------------------------------------- +Start Renaming Generated Instances +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Instances : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 776.656 ; gain = 480.590 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start Rebuilding User Hierarchy +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 776.656 ; gain = 480.590 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Ports +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Ports : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 776.656 ; gain = 480.590 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 776.656 ; gain = 480.590 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Nets +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Nets : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 776.656 ; gain = 480.590 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Writing Synthesis Report +--------------------------------------------------------------------------------- + +Report BlackBoxes: ++-+--------------+----------+ +| |BlackBox name |Instances | ++-+--------------+----------+ ++-+--------------+----------+ + +Report Cell Usage: ++------+-------+------+ +| |Cell |Count | ++------+-------+------+ +|1 |BUFG | 2| +|2 |CARRY4 | 10| +|3 |LUT1 | 36| +|4 |LUT2 | 55| +|5 |LUT3 | 33| +|6 |LUT4 | 31| +|7 |LUT5 | 36| +|8 |LUT6 | 57| +|9 |FDRE | 119| +|10 |FDSE | 8| +|11 |IBUF | 3| +|12 |OBUF | 27| ++------+-------+------+ + +Report Instance Areas: ++------+-------------+----------+------+ +| |Instance |Module |Cells | ++------+-------------+----------+------+ +|1 |top | | 417| +|2 | clkDiv0 |clkDiv | 116| +|3 | dut0 |cpu | 221| +|4 | alu0 |alu | 2| +|5 | cntrl0 |control | 101| +|6 | pc0 |pc | 55| +|7 | reg0 |regfile | 63| +|8 | seven_seg0 |seven_seg | 48| ++------+-------------+----------+------+ +--------------------------------------------------------------------------------- +Finished Writing Synthesis Report : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 776.656 ; gain = 480.590 +--------------------------------------------------------------------------------- +Synthesis finished with 0 errors, 0 critical warnings and 22 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:00:14 ; elapsed = 00:00:19 . Memory (MB): peak = 776.656 ; gain = 157.512 +Synthesis Optimization Complete : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 776.656 ; gain = 480.590 +INFO: [Project 1-571] Translating synthesized netlist +INFO: [Netlist 29-17] Analyzing 13 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-570] Preparing netlist for logic optimization +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +117 Infos, 153 Warnings, 0 Critical Warnings and 0 Errors encountered. +synth_design completed successfully +synth_design: Time (s): cpu = 00:00:24 ; elapsed = 00:00:27 . Memory (MB): peak = 776.656 ; gain = 483.043 +INFO: [Common 17-1381] The checkpoint 'C:/Users/hp/end_game/end_game.runs/synth_1/main.dcp' has been generated. +report_utilization: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.019 . Memory (MB): peak = 776.656 ; gain = 0.000 +INFO: [Common 17-206] Exiting Vivado at Sat Nov 23 00:05:44 2019... diff --git a/end_game/end_game.runs/synth_1/main_utilization_synth.pb b/end_game/end_game.runs/synth_1/main_utilization_synth.pb new file mode 100644 index 0000000000000000000000000000000000000000..e9bf27f88e9fabd18af3064b5d155bfd2212d2c4 GIT binary patch literal 276 zcmd;LGcqtV*E5{NtPxzAo10ivsgR$hP+F3ilUbEml9`_e;%28-Dioy_=a&{Grxxp- z=QNj>p+U+0DeqCgId*S_7#KXA_X;yKEOKiVDN8E1@0DZ#g1bOc%x$R@h`m;x zf#HMWUm$tK>8OHp)+5KWsvz;XY77h>PEUa(14FM7h`b9Vw>T{|2C> b`Akyim zB?H3=he=i(PN8n@&LKfQXYD{r=GrqbEO2-VBpDdqx`4>Nt{{WKK#W literal 0 HcmV?d00001 diff --git a/end_game/end_game.runs/synth_1/main_utilization_synth.rpt b/end_game/end_game.runs/synth_1/main_utilization_synth.rpt new file mode 100644 index 0000000..4c0995a --- /dev/null +++ b/end_game/end_game.runs/synth_1/main_utilization_synth.rpt @@ -0,0 +1,182 @@ +Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +--------------------------------------------------------------------------------------------------- +| Tool Version : Vivado v.2017.1 (win64) Build 1846317 Fri Apr 14 18:55:03 MDT 2017 +| Date : Sat Nov 23 00:05:44 2019 +| Host : DESKTOP-I2AH2G3 running 64-bit major release (build 9200) +| Command : report_utilization -file main_utilization_synth.rpt -pb main_utilization_synth.pb +| Design : main +| Device : 7a35tcpg236-1 +| Design State : Synthesized +--------------------------------------------------------------------------------------------------- + +Utilization Design Information + +Table of Contents +----------------- +1. Slice Logic +1.1 Summary of Registers by Type +2. Memory +3. DSP +4. IO and GT Specific +5. Clocking +6. Specific Feature +7. Primitives +8. Black Boxes +9. Instantiated Netlists + +1. Slice Logic +-------------- + ++-------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-------------------------+------+-------+-----------+-------+ +| Slice LUTs* | 201 | 0 | 20800 | 0.97 | +| LUT as Logic | 201 | 0 | 20800 | 0.97 | +| LUT as Memory | 0 | 0 | 9600 | 0.00 | +| Slice Registers | 127 | 0 | 41600 | 0.31 | +| Register as Flip Flop | 127 | 0 | 41600 | 0.31 | +| Register as Latch | 0 | 0 | 41600 | 0.00 | +| F7 Muxes | 0 | 0 | 16300 | 0.00 | +| F8 Muxes | 0 | 0 | 8150 | 0.00 | ++-------------------------+------+-------+-----------+-------+ +* Warning! The Final LUT count, after physical optimizations and full implementation, is typically lower. Run opt_design after synthesis, if not already completed, for a more realistic count. + + +1.1 Summary of Registers by Type +-------------------------------- + ++-------+--------------+-------------+--------------+ +| Total | Clock Enable | Synchronous | Asynchronous | ++-------+--------------+-------------+--------------+ +| 0 | _ | - | - | +| 0 | _ | - | Set | +| 0 | _ | - | Reset | +| 0 | _ | Set | - | +| 0 | _ | Reset | - | +| 0 | Yes | - | - | +| 0 | Yes | - | Set | +| 0 | Yes | - | Reset | +| 8 | Yes | Set | - | +| 119 | Yes | Reset | - | ++-------+--------------+-------------+--------------+ + + +2. Memory +--------- + ++----------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++----------------+------+-------+-----------+-------+ +| Block RAM Tile | 0 | 0 | 50 | 0.00 | +| RAMB36/FIFO* | 0 | 0 | 50 | 0.00 | +| RAMB18 | 0 | 0 | 100 | 0.00 | ++----------------+------+-------+-----------+-------+ +* Note: Each Block RAM Tile only has one FIFO logic available and therefore can accommodate only one FIFO36E1 or one FIFO18E1. However, if a FIFO18E1 occupies a Block RAM Tile, that tile can still accommodate a RAMB18E1 + + +3. DSP +------ + ++-----------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-----------+------+-------+-----------+-------+ +| DSPs | 0 | 0 | 90 | 0.00 | ++-----------+------+-------+-----------+-------+ + + +4. IO and GT Specific +--------------------- + ++-----------------------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-----------------------------+------+-------+-----------+-------+ +| Bonded IOB | 30 | 0 | 106 | 28.30 | +| Bonded IPADs | 0 | 0 | 10 | 0.00 | +| Bonded OPADs | 0 | 0 | 4 | 0.00 | +| PHY_CONTROL | 0 | 0 | 5 | 0.00 | +| PHASER_REF | 0 | 0 | 5 | 0.00 | +| OUT_FIFO | 0 | 0 | 20 | 0.00 | +| IN_FIFO | 0 | 0 | 20 | 0.00 | +| IDELAYCTRL | 0 | 0 | 5 | 0.00 | +| IBUFDS | 0 | 0 | 104 | 0.00 | +| GTPE2_CHANNEL | 0 | 0 | 2 | 0.00 | +| PHASER_OUT/PHASER_OUT_PHY | 0 | 0 | 20 | 0.00 | +| PHASER_IN/PHASER_IN_PHY | 0 | 0 | 20 | 0.00 | +| IDELAYE2/IDELAYE2_FINEDELAY | 0 | 0 | 250 | 0.00 | +| IBUFDS_GTE2 | 0 | 0 | 2 | 0.00 | +| ILOGIC | 0 | 0 | 106 | 0.00 | +| OLOGIC | 0 | 0 | 106 | 0.00 | ++-----------------------------+------+-------+-----------+-------+ + + +5. Clocking +----------- + ++------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++------------+------+-------+-----------+-------+ +| BUFGCTRL | 2 | 0 | 32 | 6.25 | +| BUFIO | 0 | 0 | 20 | 0.00 | +| MMCME2_ADV | 0 | 0 | 5 | 0.00 | +| PLLE2_ADV | 0 | 0 | 5 | 0.00 | +| BUFMRCE | 0 | 0 | 10 | 0.00 | +| BUFHCE | 0 | 0 | 72 | 0.00 | +| BUFR | 0 | 0 | 20 | 0.00 | ++------------+------+-------+-----------+-------+ + + +6. Specific Feature +------------------- + ++-------------+------+-------+-----------+-------+ +| Site Type | Used | Fixed | Available | Util% | ++-------------+------+-------+-----------+-------+ +| BSCANE2 | 0 | 0 | 4 | 0.00 | +| CAPTUREE2 | 0 | 0 | 1 | 0.00 | +| DNA_PORT | 0 | 0 | 1 | 0.00 | +| EFUSE_USR | 0 | 0 | 1 | 0.00 | +| FRAME_ECCE2 | 0 | 0 | 1 | 0.00 | +| ICAPE2 | 0 | 0 | 2 | 0.00 | +| PCIE_2_1 | 0 | 0 | 1 | 0.00 | +| STARTUPE2 | 0 | 0 | 1 | 0.00 | +| XADC | 0 | 0 | 1 | 0.00 | ++-------------+------+-------+-----------+-------+ + + +7. Primitives +------------- + ++----------+------+---------------------+ +| Ref Name | Used | Functional Category | ++----------+------+---------------------+ +| FDRE | 119 | Flop & Latch | +| LUT6 | 57 | LUT | +| LUT2 | 55 | LUT | +| LUT5 | 36 | LUT | +| LUT1 | 36 | LUT | +| LUT3 | 33 | LUT | +| LUT4 | 31 | LUT | +| OBUF | 27 | IO | +| CARRY4 | 10 | CarryLogic | +| FDSE | 8 | Flop & Latch | +| IBUF | 3 | IO | +| BUFG | 2 | Clock | ++----------+------+---------------------+ + + +8. Black Boxes +-------------- + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + +9. Instantiated Netlists +------------------------ + ++----------+------+ +| Ref Name | Used | ++----------+------+ + + diff --git a/end_game/end_game.runs/synth_1/rundef.js b/end_game/end_game.runs/synth_1/rundef.js new file mode 100644 index 0000000..7356a5c --- /dev/null +++ b/end_game/end_game.runs/synth_1/rundef.js @@ -0,0 +1,36 @@ +// +// Vivado(TM) +// rundef.js: a Vivado-generated Runs Script for WSH 5.1/5.6 +// Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +// + +var WshShell = new ActiveXObject( "WScript.Shell" ); +var ProcEnv = WshShell.Environment( "Process" ); +var PathVal = ProcEnv("PATH"); +if ( PathVal.length == 0 ) { + PathVal = "C:/Xilinx/SDK/2017.1/bin;C:/Xilinx/Vivado/2017.1/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2017.1/ids_lite/ISE/lib/nt64;C:/Xilinx/Vivado/2017.1/bin;"; +} else { + PathVal = "C:/Xilinx/SDK/2017.1/bin;C:/Xilinx/Vivado/2017.1/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2017.1/ids_lite/ISE/lib/nt64;C:/Xilinx/Vivado/2017.1/bin;" + PathVal; +} + +ProcEnv("PATH") = PathVal; + +var RDScrFP = WScript.ScriptFullName; +var RDScrN = WScript.ScriptName; +var RDScrDir = RDScrFP.substr( 0, RDScrFP.length - RDScrN.length - 1 ); +var ISEJScriptLib = RDScrDir + "/ISEWrap.js"; +eval( EAInclude(ISEJScriptLib) ); + + +ISEStep( "vivado", + "-log main.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source main.tcl" ); + + + +function EAInclude( EAInclFilename ) { + var EAFso = new ActiveXObject( "Scripting.FileSystemObject" ); + var EAInclFile = EAFso.OpenTextFile( EAInclFilename ); + var EAIFContents = EAInclFile.ReadAll(); + EAInclFile.Close(); + return EAIFContents; +} diff --git a/end_game/end_game.runs/synth_1/runme.bat b/end_game/end_game.runs/synth_1/runme.bat new file mode 100644 index 0000000..570480c --- /dev/null +++ b/end_game/end_game.runs/synth_1/runme.bat @@ -0,0 +1,10 @@ +@echo off + +rem Vivado (TM) +rem runme.bat: a Vivado-generated Script +rem Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. + + +set HD_SDIR=%~dp0 +cd /d "%HD_SDIR%" +cscript /nologo /E:JScript "%HD_SDIR%\rundef.js" %* diff --git a/end_game/end_game.runs/synth_1/runme.log b/end_game/end_game.runs/synth_1/runme.log new file mode 100644 index 0000000..a0a6a0c --- /dev/null +++ b/end_game/end_game.runs/synth_1/runme.log @@ -0,0 +1,639 @@ + +*** Running vivado + with args -log main.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source main.tcl + + +****** Vivado v2017.1 (64-bit) + **** SW Build 1846317 on Fri Apr 14 18:55:03 MDT 2017 + **** IP Build 1846188 on Fri Apr 14 20:52:08 MDT 2017 + ** Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. + +source main.tcl -notrace +Command: synth_design -top main -part xc7a35tcpg236-1 +Starting synth_design +Attempting to get a license for feature 'Synthesis' and/or device 'xc7a35t-cpg236' +INFO: [Common 17-349] Got license for feature 'Synthesis' and/or device 'xc7a35t-cpg236' +INFO: Launching helper process for spawning children vivado processes +INFO: Helper process launched with PID 836 +WARNING: [Synth 8-2611] redeclaration of ansi port clk is not allowed [C:/Users/hp/end_game/end_game.srcs/sources_1/new/main.v:24] +WARNING: [Synth 8-2611] redeclaration of ansi port reset is not allowed [C:/Users/hp/end_game/end_game.srcs/sources_1/new/main.v:24] +WARNING: [Synth 8-2611] redeclaration of ansi port interrupt is not allowed [C:/Users/hp/end_game/end_game.srcs/sources_1/new/main.v:24] +WARNING: [Synth 8-2611] redeclaration of ansi port datamem_address is not allowed [C:/Users/hp/end_game/end_game.srcs/sources_1/new/main.v:25] +WARNING: [Synth 8-2611] redeclaration of ansi port idata is not allowed [C:/Users/hp/end_game/end_game.srcs/sources_1/new/main.v:25] +--------------------------------------------------------------------------------- +Starting RTL Elaboration : Time (s): cpu = 00:00:02 ; elapsed = 00:00:03 . Memory (MB): peak = 394.164 ; gain = 98.098 +--------------------------------------------------------------------------------- +INFO: [Synth 8-638] synthesizing module 'main' [C:/Users/hp/end_game/end_game.srcs/sources_1/new/main.v:23] +INFO: [Synth 8-638] synthesizing module 'sram' [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:23] +INFO: [Synth 8-4471] merging register 'memory_array_reg[5][7:0]' into 'memory_array_reg[3][7:0]' [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:32] +WARNING: [Synth 8-6014] Unused sequential element memory_array_reg[5] was removed. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:32] +WARNING: [Synth 8-3848] Net memory_array[0] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[1] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[11] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[12] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[13] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[14] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[15] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[16] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[17] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[18] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[19] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[20] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[21] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[22] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[23] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[24] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[25] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[26] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[27] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[28] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[29] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[30] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[31] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[32] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[33] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[34] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[35] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[36] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[37] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[38] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[39] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[40] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[41] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[42] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[43] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[44] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[45] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[46] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[47] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[48] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[49] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[50] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[51] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[52] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[53] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[54] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[55] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[56] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[57] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[58] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[59] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[60] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[61] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[62] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[63] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[64] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[65] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[66] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[67] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[68] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[69] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[70] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[71] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[72] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[73] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[74] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[75] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[76] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[77] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[78] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[79] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[80] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[81] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[82] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[83] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[84] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[85] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[86] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[87] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[88] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[89] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[90] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[91] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[92] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[93] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[94] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[95] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[96] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[97] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[98] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[99] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[100] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[101] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[102] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[103] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[104] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[105] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[106] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[107] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +WARNING: [Synth 8-3848] Net memory_array[108] in module/entity sram does not have driver. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:29] +INFO: [Common 17-14] Message 'Synth 8-3848' appears 100 times and further instances of the messages will be disabled. Use the Tcl command set_msg_config to change the current settings. +INFO: [Synth 8-256] done synthesizing module 'sram' (1#1) [C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v:23] +INFO: [Synth 8-638] synthesizing module 'seven_seg' [C:/Users/hp/end_game/end_game.srcs/sources_1/new/seven_seg.v:110] +INFO: [Synth 8-256] done synthesizing module 'seven_seg' (2#1) [C:/Users/hp/end_game/end_game.srcs/sources_1/new/seven_seg.v:110] +INFO: [Synth 8-638] synthesizing module 'cpu' [C:/Users/hp/end_game/end_game.srcs/sources_1/new/cpu.v:1] +INFO: [Synth 8-638] synthesizing module 'pc' [C:/Users/hp/end_game/end_game.srcs/sources_1/new/pc.v:1] +INFO: [Synth 8-256] done synthesizing module 'pc' (3#1) [C:/Users/hp/end_game/end_game.srcs/sources_1/new/pc.v:1] +INFO: [Synth 8-638] synthesizing module 'regfile' [C:/Users/hp/end_game/end_game.srcs/sources_1/new/reg.v:2] +INFO: [Synth 8-256] done synthesizing module 'regfile' (4#1) [C:/Users/hp/end_game/end_game.srcs/sources_1/new/reg.v:2] +INFO: [Synth 8-638] synthesizing module 'alu' [C:/Users/hp/end_game/end_game.srcs/sources_1/new/alu.v:1] +INFO: [Synth 8-256] done synthesizing module 'alu' (5#1) [C:/Users/hp/end_game/end_game.srcs/sources_1/new/alu.v:1] +INFO: [Synth 8-638] synthesizing module 'control' [C:/Users/hp/end_game/end_game.srcs/sources_1/new/control.v:1] + Parameter state0 bound to: 3'b000 + Parameter state1 bound to: 3'b001 + Parameter state2 bound to: 3'b010 + Parameter state3 bound to: 3'b011 + Parameter state4 bound to: 3'b100 + Parameter state5 bound to: 3'b101 + Parameter state6 bound to: 3'b110 +INFO: [Synth 8-155] case statement is not full and has no default [C:/Users/hp/end_game/end_game.srcs/sources_1/new/control.v:151] +INFO: [Synth 8-256] done synthesizing module 'control' (6#1) [C:/Users/hp/end_game/end_game.srcs/sources_1/new/control.v:1] +INFO: [Synth 8-256] done synthesizing module 'cpu' (7#1) [C:/Users/hp/end_game/end_game.srcs/sources_1/new/cpu.v:1] +INFO: [Synth 8-638] synthesizing module 'clkDiv' [C:/Users/hp/end_game/end_game.srcs/sources_1/new/clock_div.v:1] +INFO: [Synth 8-256] done synthesizing module 'clkDiv' (8#1) [C:/Users/hp/end_game/end_game.srcs/sources_1/new/clock_div.v:1] +WARNING: [Synth 8-350] instance 'clkDiv0' of module 'clkDiv' requires 5 connections, but only 3 given [C:/Users/hp/end_game/end_game.srcs/sources_1/new/main.v:44] +INFO: [Synth 8-256] done synthesizing module 'main' (9#1) [C:/Users/hp/end_game/end_game.srcs/sources_1/new/main.v:23] +WARNING: [Synth 8-3331] design clkDiv has unconnected port value1[7] +WARNING: [Synth 8-3331] design clkDiv has unconnected port value1[6] +WARNING: [Synth 8-3331] design clkDiv has unconnected port value1[5] +WARNING: [Synth 8-3331] design clkDiv has unconnected port value1[4] +WARNING: [Synth 8-3331] design clkDiv has unconnected port value1[3] +WARNING: [Synth 8-3331] design clkDiv has unconnected port value1[2] +WARNING: [Synth 8-3331] design clkDiv has unconnected port value1[1] +WARNING: [Synth 8-3331] design clkDiv has unconnected port value1[0] +WARNING: [Synth 8-3331] design clkDiv has unconnected port value2[7] +WARNING: [Synth 8-3331] design clkDiv has unconnected port value2[6] +WARNING: [Synth 8-3331] design clkDiv has unconnected port value2[5] +WARNING: [Synth 8-3331] design clkDiv has unconnected port value2[4] +WARNING: [Synth 8-3331] design clkDiv has unconnected port value2[3] +WARNING: [Synth 8-3331] design clkDiv has unconnected port value2[2] +WARNING: [Synth 8-3331] design clkDiv has unconnected port value2[1] +WARNING: [Synth 8-3331] design clkDiv has unconnected port value2[0] +--------------------------------------------------------------------------------- +Finished RTL Elaboration : Time (s): cpu = 00:00:03 ; elapsed = 00:00:04 . Memory (MB): peak = 427.793 ; gain = 131.727 +--------------------------------------------------------------------------------- + +Report Check Netlist: ++------+------------------+-------+---------+-------+------------------+ +| |Item |Errors |Warnings |Status |Description | ++------+------------------+-------+---------+-------+------------------+ +|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | ++------+------------------+-------+---------+-------+------------------+ +WARNING: [Synth 8-3295] tying undriven pin dut0:usermem_data_in[7] to constant 0 [C:/Users/hp/end_game/end_game.srcs/sources_1/new/main.v:32] +WARNING: [Synth 8-3295] tying undriven pin dut0:usermem_data_in[6] to constant 0 [C:/Users/hp/end_game/end_game.srcs/sources_1/new/main.v:32] +WARNING: [Synth 8-3295] tying undriven pin dut0:usermem_data_in[5] to constant 0 [C:/Users/hp/end_game/end_game.srcs/sources_1/new/main.v:32] +WARNING: [Synth 8-3295] tying undriven pin dut0:usermem_data_in[4] to constant 0 [C:/Users/hp/end_game/end_game.srcs/sources_1/new/main.v:32] +WARNING: [Synth 8-3295] tying undriven pin dut0:usermem_data_in[3] to constant 0 [C:/Users/hp/end_game/end_game.srcs/sources_1/new/main.v:32] +WARNING: [Synth 8-3295] tying undriven pin dut0:usermem_data_in[2] to constant 0 [C:/Users/hp/end_game/end_game.srcs/sources_1/new/main.v:32] +WARNING: [Synth 8-3295] tying undriven pin dut0:usermem_data_in[1] to constant 0 [C:/Users/hp/end_game/end_game.srcs/sources_1/new/main.v:32] +WARNING: [Synth 8-3295] tying undriven pin dut0:usermem_data_in[0] to constant 0 [C:/Users/hp/end_game/end_game.srcs/sources_1/new/main.v:32] +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 1 : Time (s): cpu = 00:00:03 ; elapsed = 00:00:04 . Memory (MB): peak = 427.793 ; gain = 131.727 +--------------------------------------------------------------------------------- +INFO: [Device 21-403] Loading part xc7a35tcpg236-1 +INFO: [Project 1-570] Preparing netlist for logic optimization + +Processing XDC Constraints +Initializing timing engine +Parsing XDC File [C:/Users/hp/end_game/end_game.srcs/constrs_1/new/my_constraint.xdc] +Finished Parsing XDC File [C:/Users/hp/end_game/end_game.srcs/constrs_1/new/my_constraint.xdc] +INFO: [Project 1-236] Implementation specific constraints were found while reading constraint file [C:/Users/hp/end_game/end_game.srcs/constrs_1/new/my_constraint.xdc]. These constraints will be ignored for synthesis but will be used in implementation. Impacted constraints are listed in the file [.Xil/main_propImpl.xdc]. +Resolution: To avoid this warning, move constraints listed in [.Xil/main_propImpl.xdc] to another XDC file and exclude this new file from synthesis with the used_in_synthesis property (File Properties dialog in GUI) and re-run elaboration/synthesis. +Completed Processing XDC Constraints + +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +Constraint Validation Runtime : Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.002 . Memory (MB): peak = 750.871 ; gain = 0.000 +--------------------------------------------------------------------------------- +Finished Constraint Validation : Time (s): cpu = 00:00:11 ; elapsed = 00:00:12 . Memory (MB): peak = 750.871 ; gain = 454.805 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Loading Part and Timing Information +--------------------------------------------------------------------------------- +Loading part: xc7a35tcpg236-1 +--------------------------------------------------------------------------------- +Finished Loading Part and Timing Information : Time (s): cpu = 00:00:11 ; elapsed = 00:00:12 . Memory (MB): peak = 750.871 ; gain = 454.805 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Applying 'set_property' XDC Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished applying 'set_property' XDC Constraints : Time (s): cpu = 00:00:11 ; elapsed = 00:00:12 . Memory (MB): peak = 750.871 ; gain = 454.805 +--------------------------------------------------------------------------------- +WARNING: [Synth 8-6014] Unused sequential element mycounter_reg was removed. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/seven_seg.v:122] +WARNING: [Synth 8-6014] Unused sequential element data_reg was removed. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/pc.v:7] +INFO: [Synth 8-5544] ROM "registerfile_reg[3]" won't be mapped to Block RAM because address size (2) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "registerfile_reg[2]" won't be mapped to Block RAM because address size (2) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "registerfile_reg[1]" won't be mapped to Block RAM because address size (2) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "registerfile_reg[0]" won't be mapped to Block RAM because address size (2) smaller than threshold (5) +INFO: [Synth 8-5818] HDL ADVISOR - The operator resource is shared. To prevent sharing consider applying a KEEP on the output of the operator [C:/Users/hp/end_game/end_game.srcs/sources_1/new/alu.v:14] +INFO: [Synth 8-802] inferred FSM for state register 'stage_reg' in module 'control' +WARNING: [Synth 8-6014] Unused sequential element stage_reg was removed. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/control.v:42] +INFO: [Synth 8-5544] ROM "regfile_regwrite" won't be mapped to Block RAM because address size (4) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "regfile_regwrite" won't be mapped to Block RAM because address size (4) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "pc_jump" won't be mapped to Block RAM because address size (4) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "pc_jmpaddr" won't be mapped to Block RAM because address size (4) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "stage" won't be mapped to Block RAM because address size (4) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "stage" won't be mapped to Block RAM because address size (4) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "usermem_address" won't be mapped to Block RAM because address size (4) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "usermem_data_out" won't be mapped to Block RAM because address size (4) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "regfile_data" won't be mapped to Block RAM because address size (4) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "pc_jmpaddr" won't be mapped to Block RAM because address size (3) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "stage" won't be mapped to Block RAM because address size (1) smaller than threshold (5) +INFO: [Synth 8-5544] ROM "stage" won't be mapped to Block RAM because address size (1) smaller than threshold (5) +INFO: [Synth 8-5545] ROM "clk_out" won't be mapped to RAM because address size (33) is larger than maximum supported(25) +WARNING: [Synth 8-6014] Unused sequential element stage_reg was removed. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/control.v:42] +WARNING: [Synth 8-6014] Unused sequential element stage_reg was removed. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/control.v:42] +--------------------------------------------------------------------------------------------------- + State | New Encoding | Previous Encoding +--------------------------------------------------------------------------------------------------- + iSTATE1 | 0000001 | 010 + iSTATE | 0000010 | 000 + iSTATE0 | 0000100 | 001 + iSTATE5 | 0001000 | 101 + iSTATE3 | 0010000 | 100 + iSTATE4 | 0100000 | 110 +* + iSTATE2 | 1000000 | 011 +--------------------------------------------------------------------------------------------------- +INFO: [Synth 8-3354] encoded FSM with state register 'stage_reg' using encoding 'one-hot' in module 'control' +WARNING: [Synth 8-6014] Unused sequential element stage_reg was removed. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/control.v:42] +--------------------------------------------------------------------------------- +Finished RTL Optimization Phase 2 : Time (s): cpu = 00:00:11 ; elapsed = 00:00:13 . Memory (MB): peak = 750.871 ; gain = 454.805 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start RTL Component Statistics +--------------------------------------------------------------------------------- +Detailed RTL Component Info : ++---Adders : + 2 Input 33 Bit Adders := 1 + 2 Input 11 Bit Adders := 1 + 2 Input 8 Bit Adders := 3 + 3 Input 8 Bit Adders := 1 + 2 Input 2 Bit Adders := 1 ++---XORs : + 2 Input 8 Bit XORs := 1 ++---Registers : + 33 Bit Registers := 1 + 11 Bit Registers := 1 + 8 Bit Registers := 15 + 4 Bit Registers := 2 + 2 Bit Registers := 1 + 1 Bit Registers := 4 ++---Muxes : + 2 Input 33 Bit Muxes := 1 + 2 Input 8 Bit Muxes := 16 + 4 Input 8 Bit Muxes := 3 + 8 Input 8 Bit Muxes := 2 + 6 Input 8 Bit Muxes := 3 + 3 Input 8 Bit Muxes := 2 + 21 Input 7 Bit Muxes := 1 + 4 Input 4 Bit Muxes := 2 + 7 Input 3 Bit Muxes := 1 + 2 Input 2 Bit Muxes := 2 + 2 Input 1 Bit Muxes := 52 + 6 Input 1 Bit Muxes := 9 + 3 Input 1 Bit Muxes := 11 + 4 Input 1 Bit Muxes := 11 + 7 Input 1 Bit Muxes := 1 + 5 Input 1 Bit Muxes := 3 +--------------------------------------------------------------------------------- +Finished RTL Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start RTL Hierarchical Component Statistics +--------------------------------------------------------------------------------- +Hierarchical RTL Component report +Module sram +Detailed RTL Component Info : ++---Registers : + 8 Bit Registers := 8 +Module seven_seg +Detailed RTL Component Info : ++---Adders : + 2 Input 11 Bit Adders := 1 + 2 Input 2 Bit Adders := 1 ++---Registers : + 11 Bit Registers := 1 + 4 Bit Registers := 2 + 2 Bit Registers := 1 ++---Muxes : + 4 Input 4 Bit Muxes := 2 +Module pc +Detailed RTL Component Info : ++---Adders : + 2 Input 8 Bit Adders := 1 ++---Registers : + 8 Bit Registers := 1 ++---Muxes : + 2 Input 8 Bit Muxes := 1 + 2 Input 1 Bit Muxes := 1 +Module regfile +Detailed RTL Component Info : ++---Muxes : + 4 Input 8 Bit Muxes := 2 + 2 Input 8 Bit Muxes := 2 + 2 Input 1 Bit Muxes := 4 +Module alu +Detailed RTL Component Info : ++---Adders : + 3 Input 8 Bit Adders := 1 ++---XORs : + 2 Input 8 Bit XORs := 1 ++---Muxes : + 2 Input 8 Bit Muxes := 2 + 8 Input 8 Bit Muxes := 2 +Module control +Detailed RTL Component Info : ++---Adders : + 2 Input 8 Bit Adders := 2 ++---Registers : + 8 Bit Registers := 6 + 1 Bit Registers := 3 ++---Muxes : + 4 Input 8 Bit Muxes := 1 + 2 Input 8 Bit Muxes := 11 + 6 Input 8 Bit Muxes := 3 + 3 Input 8 Bit Muxes := 2 + 21 Input 7 Bit Muxes := 1 + 7 Input 3 Bit Muxes := 1 + 2 Input 2 Bit Muxes := 2 + 2 Input 1 Bit Muxes := 47 + 6 Input 1 Bit Muxes := 9 + 3 Input 1 Bit Muxes := 11 + 4 Input 1 Bit Muxes := 11 + 7 Input 1 Bit Muxes := 1 + 5 Input 1 Bit Muxes := 3 +Module clkDiv +Detailed RTL Component Info : ++---Adders : + 2 Input 33 Bit Adders := 1 ++---Registers : + 33 Bit Registers := 1 + 1 Bit Registers := 1 ++---Muxes : + 2 Input 33 Bit Muxes := 1 +--------------------------------------------------------------------------------- +Finished RTL Hierarchical Component Statistics +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Part Resource Summary +--------------------------------------------------------------------------------- +Part Resources: +DSPs: 90 (col length:60) +BRAMs: 100 (col length: RAMB18 60 RAMB36 30) +--------------------------------------------------------------------------------- +Finished Part Resource Summary +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Cross Boundary and Area Optimization +--------------------------------------------------------------------------------- +WARNING: [Synth 8-6014] Unused sequential element dut0/cntrl0/usermem_data_out_reg was removed. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/control.v:48] +WARNING: [Synth 8-6014] Unused sequential element dut0/cntrl0/usermem_address_reg was removed. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/control.v:48] +WARNING: [Synth 8-6014] Unused sequential element dut0/cntrl0/rw_reg was removed. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/control.v:49] +INFO: [Synth 8-5545] ROM "clkDiv0/clk_out" won't be mapped to RAM because address size (33) is larger than maximum supported(25) +WARNING: [Synth 8-6014] Unused sequential element seven_seg0/mycounter_reg was removed. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/seven_seg.v:122] +WARNING: [Synth 8-6014] Unused sequential element dut0/pc0/data_reg was removed. [C:/Users/hp/end_game/end_game.srcs/sources_1/new/pc.v:7] +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[10][0]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[9][0]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[8][0]' (FD) to 'sram0/memory_array_reg[10][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[7][0]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[6][0]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[4][0]' (FD) to 'sram0/memory_array_reg[10][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[3][0]' (FD) to 'sram0/memory_array_reg[10][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[2][0]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[10][1]' (FD) to 'sram0/memory_array_reg[10][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[9][1]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[8][1]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[7][1]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[6][1]' (FD) to 'sram0/memory_array_reg[10][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[4][1]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[3][1]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[2][1]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[10][2]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[9][2]' (FD) to 'sram0/memory_array_reg[10][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[8][2]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[7][2]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[6][2]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[4][2]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[3][2]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[2][2]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[10][3]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[9][3]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[8][3]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[7][3]' (FD) to 'sram0/memory_array_reg[10][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[6][3]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[4][3]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[3][3]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[2][3]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[10][4]' (FD) to 'sram0/memory_array_reg[10][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[9][4]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[8][4]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[7][4]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[6][4]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[4][4]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[3][4]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[2][4]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[10][5]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[9][5]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[8][5]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[7][5]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[6][5]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[4][5]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[3][5]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[2][5]' (FD) to 'sram0/memory_array_reg[10][6]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[10][6]' (FD) to 'sram0/memory_array_reg[8][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[9][6]' (FD) to 'sram0/memory_array_reg[10][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[8][6]' (FD) to 'sram0/memory_array_reg[10][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[7][6]' (FD) to 'sram0/memory_array_reg[8][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[6][6]' (FD) to 'sram0/memory_array_reg[8][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[4][6]' (FD) to 'sram0/memory_array_reg[8][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[3][6]' (FD) to 'sram0/memory_array_reg[8][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[2][6]' (FD) to 'sram0/memory_array_reg[8][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[10][7]' (FD) to 'sram0/memory_array_reg[6][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[9][7]' (FD) to 'sram0/memory_array_reg[8][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[8][7]' (FD) to 'sram0/memory_array_reg[3][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[7][7]' (FD) to 'sram0/memory_array_reg[3][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[6][7]' (FD) to 'sram0/memory_array_reg[2][7]' +INFO: [Synth 8-3886] merging instance 'sram0/memory_array_reg[4][7]' (FD) to 'sram0/memory_array_reg[2][7]' +INFO: [Synth 8-3333] propagating constant 0 across sequential element (\sram0/memory_array_reg[3][7] ) +INFO: [Synth 8-3333] propagating constant 1 across sequential element (\sram0/memory_array_reg[2][7] ) +WARNING: [Synth 8-3332] Sequential element (sram0/memory_array_reg[3][7]) is unused and will be removed from module main. +WARNING: [Synth 8-3332] Sequential element (sram0/memory_array_reg[2][7]) is unused and will be removed from module main. +WARNING: [Synth 8-3332] Sequential element (dut0/cntrl0/instruction_reg[5]) is unused and will be removed from module main. +WARNING: [Synth 8-3332] Sequential element (dut0/cntrl0/sp_reg[7]) is unused and will be removed from module main. +WARNING: [Synth 8-3332] Sequential element (dut0/cntrl0/sp_reg[6]) is unused and will be removed from module main. +WARNING: [Synth 8-3332] Sequential element (dut0/cntrl0/sp_reg[5]) is unused and will be removed from module main. +WARNING: [Synth 8-3332] Sequential element (dut0/cntrl0/sp_reg[4]) is unused and will be removed from module main. +WARNING: [Synth 8-3332] Sequential element (dut0/cntrl0/sp_reg[3]) is unused and will be removed from module main. +WARNING: [Synth 8-3332] Sequential element (dut0/cntrl0/sp_reg[2]) is unused and will be removed from module main. +WARNING: [Synth 8-3332] Sequential element (dut0/cntrl0/sp_reg[1]) is unused and will be removed from module main. +WARNING: [Synth 8-3332] Sequential element (dut0/cntrl0/sp_reg[0]) is unused and will be removed from module main. +--------------------------------------------------------------------------------- +Finished Cross Boundary and Area Optimization : Time (s): cpu = 00:00:13 ; elapsed = 00:00:15 . Memory (MB): peak = 750.871 ; gain = 454.805 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start Applying XDC Timing Constraints +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Applying XDC Timing Constraints : Time (s): cpu = 00:00:22 ; elapsed = 00:00:23 . Memory (MB): peak = 751.156 ; gain = 455.090 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Timing Optimization +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Timing Optimization : Time (s): cpu = 00:00:22 ; elapsed = 00:00:23 . Memory (MB): peak = 751.453 ; gain = 455.387 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start Technology Mapping +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Technology Mapping : Time (s): cpu = 00:00:22 ; elapsed = 00:00:23 . Memory (MB): peak = 776.656 ; gain = 480.590 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Flattening Before IO Insertion +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Final Netlist Cleanup +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished IO Insertion : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 776.656 ; gain = 480.590 +--------------------------------------------------------------------------------- + +Report Check Netlist: ++------+------------------+-------+---------+-------+------------------+ +| |Item |Errors |Warnings |Status |Description | ++------+------------------+-------+---------+-------+------------------+ +|1 |multi_driven_nets | 0| 0|Passed |Multi driven nets | ++------+------------------+-------+---------+-------+------------------+ +--------------------------------------------------------------------------------- +Start Renaming Generated Instances +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Instances : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 776.656 ; gain = 480.590 +--------------------------------------------------------------------------------- + +Report RTL Partitions: ++-+--------------+------------+----------+ +| |RTL Partition |Replication |Instances | ++-+--------------+------------+----------+ ++-+--------------+------------+----------+ +--------------------------------------------------------------------------------- +Start Rebuilding User Hierarchy +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Rebuilding User Hierarchy : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 776.656 ; gain = 480.590 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Ports +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Ports : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 776.656 ; gain = 480.590 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Handling Custom Attributes +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Handling Custom Attributes : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 776.656 ; gain = 480.590 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Renaming Generated Nets +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Finished Renaming Generated Nets : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 776.656 ; gain = 480.590 +--------------------------------------------------------------------------------- +--------------------------------------------------------------------------------- +Start Writing Synthesis Report +--------------------------------------------------------------------------------- + +Report BlackBoxes: ++-+--------------+----------+ +| |BlackBox name |Instances | ++-+--------------+----------+ ++-+--------------+----------+ + +Report Cell Usage: ++------+-------+------+ +| |Cell |Count | ++------+-------+------+ +|1 |BUFG | 2| +|2 |CARRY4 | 10| +|3 |LUT1 | 36| +|4 |LUT2 | 55| +|5 |LUT3 | 33| +|6 |LUT4 | 31| +|7 |LUT5 | 36| +|8 |LUT6 | 57| +|9 |FDRE | 119| +|10 |FDSE | 8| +|11 |IBUF | 3| +|12 |OBUF | 27| ++------+-------+------+ + +Report Instance Areas: ++------+-------------+----------+------+ +| |Instance |Module |Cells | ++------+-------------+----------+------+ +|1 |top | | 417| +|2 | clkDiv0 |clkDiv | 116| +|3 | dut0 |cpu | 221| +|4 | alu0 |alu | 2| +|5 | cntrl0 |control | 101| +|6 | pc0 |pc | 55| +|7 | reg0 |regfile | 63| +|8 | seven_seg0 |seven_seg | 48| ++------+-------------+----------+------+ +--------------------------------------------------------------------------------- +Finished Writing Synthesis Report : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 776.656 ; gain = 480.590 +--------------------------------------------------------------------------------- +Synthesis finished with 0 errors, 0 critical warnings and 22 warnings. +Synthesis Optimization Runtime : Time (s): cpu = 00:00:14 ; elapsed = 00:00:19 . Memory (MB): peak = 776.656 ; gain = 157.512 +Synthesis Optimization Complete : Time (s): cpu = 00:00:22 ; elapsed = 00:00:24 . Memory (MB): peak = 776.656 ; gain = 480.590 +INFO: [Project 1-571] Translating synthesized netlist +INFO: [Netlist 29-17] Analyzing 13 Unisim elements for replacement +INFO: [Netlist 29-28] Unisim Transformation completed in 0 CPU seconds +INFO: [Project 1-570] Preparing netlist for logic optimization +INFO: [Opt 31-138] Pushed 0 inverter(s) to 0 load pin(s). +INFO: [Project 1-111] Unisim Transformation Summary: +No Unisim elements were transformed. + +117 Infos, 153 Warnings, 0 Critical Warnings and 0 Errors encountered. +synth_design completed successfully +synth_design: Time (s): cpu = 00:00:24 ; elapsed = 00:00:27 . Memory (MB): peak = 776.656 ; gain = 483.043 +INFO: [Common 17-1381] The checkpoint 'C:/Users/hp/end_game/end_game.runs/synth_1/main.dcp' has been generated. +report_utilization: Time (s): cpu = 00:00:00 ; elapsed = 00:00:00.019 . Memory (MB): peak = 776.656 ; gain = 0.000 +INFO: [Common 17-206] Exiting Vivado at Sat Nov 23 00:05:44 2019... diff --git a/end_game/end_game.runs/synth_1/runme.sh b/end_game/end_game.runs/synth_1/runme.sh new file mode 100644 index 0000000..387b68e --- /dev/null +++ b/end_game/end_game.runs/synth_1/runme.sh @@ -0,0 +1,43 @@ +#!/bin/sh + +# +# Vivado(TM) +# runme.sh: a Vivado-generated Runs Script for UNIX +# Copyright 1986-2017 Xilinx, Inc. All Rights Reserved. +# + +echo "This script was generated under a different operating system." +echo "Please update the PATH and LD_LIBRARY_PATH variables below, before executing this script" +exit + +if [ -z "$PATH" ]; then + PATH=C:/Xilinx/SDK/2017.1/bin;C:/Xilinx/Vivado/2017.1/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2017.1/ids_lite/ISE/lib/nt64:C:/Xilinx/Vivado/2017.1/bin +else + PATH=C:/Xilinx/SDK/2017.1/bin;C:/Xilinx/Vivado/2017.1/ids_lite/ISE/bin/nt64;C:/Xilinx/Vivado/2017.1/ids_lite/ISE/lib/nt64:C:/Xilinx/Vivado/2017.1/bin:$PATH +fi +export PATH + +if [ -z "$LD_LIBRARY_PATH" ]; then + LD_LIBRARY_PATH= +else + LD_LIBRARY_PATH=:$LD_LIBRARY_PATH +fi +export LD_LIBRARY_PATH + +HD_PWD='C:/Users/hp/end_game/end_game.runs/synth_1' +cd "$HD_PWD" + +HD_LOG=runme.log +/bin/touch $HD_LOG + +ISEStep="./ISEWrap.sh" +EAStep() +{ + $ISEStep $HD_LOG "$@" >> $HD_LOG 2>&1 + if [ $? -ne 0 ] + then + exit + fi +} + +EAStep vivado -log main.vds -m64 -product Vivado -mode batch -messageDb vivado.pb -notrace -source main.tcl diff --git a/end_game/end_game.runs/synth_1/vivado.jou b/end_game/end_game.runs/synth_1/vivado.jou new file mode 100644 index 0000000..5be7625 --- /dev/null +++ b/end_game/end_game.runs/synth_1/vivado.jou @@ -0,0 +1,12 @@ +#----------------------------------------------------------- +# Vivado v2017.1 (64-bit) +# SW Build 1846317 on Fri Apr 14 18:55:03 MDT 2017 +# IP Build 1846188 on Fri Apr 14 20:52:08 MDT 2017 +# Start of session at: Sat Nov 23 00:05:11 2019 +# Process ID: 1084 +# Current directory: C:/Users/hp/end_game/end_game.runs/synth_1 +# Command line: vivado.exe -log main.vds -product Vivado -mode batch -messageDb vivado.pb -notrace -source main.tcl +# Log file: C:/Users/hp/end_game/end_game.runs/synth_1/main.vds +# Journal file: C:/Users/hp/end_game/end_game.runs/synth_1\vivado.jou +#----------------------------------------------------------- +source main.tcl -notrace diff --git a/end_game/end_game.runs/synth_1/vivado.pb b/end_game/end_game.runs/synth_1/vivado.pb new file mode 100644 index 0000000000000000000000000000000000000000..8bf15d00bec475ba0ecd762dc803e8d4efdf467c GIT binary patch literal 94913 zcmeHwYm6jWcGhNfb+zZZ*=@6UceZ!Edv|tg`!Q2l@yb{2&U(A6duG}*HPcjg&yG77 z7txtfRWXwpksA?NkD(aWMk^U?F)2ebjR2;>6&YGX-6|~)zxg59yM#4TydM-JxQ*viPDvp zzN_`x?nZd=^s=%hit_%ay30q)pOijzZHa7<&pmSSW5e8|ap3Inmqeuzdp`TMEQ#@7 z|9Xjh=Z@>@ovsJKwdkJiQjNBarfxbq-L-7Gt7~r0*6EE^=LQ9F>-emtAK(t}Bkw`7 zP`|y>1o4(B{TO-S5u*|`I)fxgFOg3Nbgub?4&L*0MXasKN-d7*FO`V!y5*h?v&+{n z$)b=wW({C=`RKAg0>4)xuh@D^Z?-jCa}CR+)-L$u7_@6)P*)vlIMf8{TDxr>>aA-l z*O#TLDBiwoY0j}DeV$xuwm%%?g0w|yn;Yv-9Nl)-_q*%5+1lCDI(l?bcI>9J?pQs$ zsXII3x~U(oGifRxTq|+Fy-1cM<=WEVH}%qYN>5knYYZt6wsN%M6ZUVXBLG zo@XNMFPF&Ds&nn??aQ7?mwv894kIhS{rD|uv%x{luJe<;&3-O@XjeN1m|-NjG3y7nQymK#c0tSb1)9&<>q(nh^3H0orK zJ1`MfkFNSA;_sKpiyn$F_8&19)UjH2iGy zNX6~<*=TTVt&N4NLb5ZL;j;lMWe4jX35@~$u(%W_q0gyZoF zc@g>zIoQ!`TRYyt&(zBMYHdS!pF@mT2^zZd_(>1gkb})`8wCQ^Gv5B1_efH8;p-IjrGuW!`jF1yPB6 z=>LnL=xqdSEXFVc-9vcb8tyUstYztb*|kE8;}8R>X;Ft%wuV zdJ!k8^&(DG>qVTX){8h%tru~kS})>6wO+)DYQ2aP)p`*ps`VmHR2xN{s5Xi?QEe1) zqS`3pM72@GiE5*W6V*l$C#sDiPE;F3oTxS~Se*F%rRh%^UnM`1JY!n8V1eRnm~g=& z#n~|7f`y8+VZsHA6=%bQ3l=QSh6xueTAU3NE?BrY8zx+^cyTsNxL^U}e=L!oE^VP} zmbMqR&FiAglI@^H3mWOU`_tnC|Z;>ITK z1{ilAUF2}T2eWN-440y@kE828%hYF{g z4I0sjf-v~S)5~bb=QW;*8tnY<5?O6ortY^Kmntva_@6DX+?b=FC5qVl7p z#A$darF@)y;~i;eyCski?=SSv$8URuPH~QYpqo36zBkBPX`6iO%su`hcqz@JzJPHQ zh14cGgB`Gbur%3dj-U-=Cviwl8Pa?>acW@y?)U@C+BSB39QxiO)iVz%Af*iE=b;y8 z7|OphJ^`O2OWh_1|2IkH%=mYk*`WVFCbTPQv_RHm4v8ruI9JL~N#5^{KX6|pSJ13& z*J$e;(k78UKuaIK^u}w0hUEWDIDA7X!eA*JLQ}?YzVx3O#IuWs5FdKYLrK^?v`)VM z!b7ws?&z#3B*H^i7w9vqhq%+VHmH2#nIH(1-{_MZ5x>#rXqe(@nBw#>rBg7)4Dggs z!^{9rB?%Kz^z^h>PQ%Oq&*~|dqxt#wN@T66p%b_#H(vY9pquq>uicI&FQ$c-;9jqC z7VwLeO4wa8du3qJZDpuU!{g(@I@;b$G*^LBN>_5&wJJyVQ=C%vTo@G=p0aII*mZu8 z3qot-(?5w*o;)NzMf*P<->zRLD`>@j&p6?*|wS=?zD`9Yz#Y+D-%ibFtRX^ zyj(zvAm_n^Q^WZ?OXT%1%i*^;wszxfr_tB&Q|jhp^3@jdReN3rj^*8YW*{VJ7TvXM)=b%Jku1w%C>X=W z9yyQ$grK^p@-nqg(VSWar1${L4g+4oe7+d))-C3X0dM(ez8LUU@#c#GZ!vYg81UA# z=ZgWav|yeXNV(esNzL0HNNV2pKvMIz2a=k%J&@GA?SZ7`Z4V@MTzl~AB`>?knvS#gDrqtY=XuXtX(P)FY1;WQR)b5#jT#pn~Qye{J|cU$5BkU z)6!gR$1u6hR?2>=A*Zi~ut*=+$0PlD5zq7U&kgas5aYQao)_IbH^lP-tLKJzUQGAg z5YG!IpBv(Nk!HzxwectLq$nIkg5_OEFUfV^QBkG7ay30 zYiMobBL;#A1737<&oFflfUMLL04mi+9Kf%X$mg(-9zFjxRNzo`)p_6hu~=)|{$W40 z+;fe#BfUrNj!_5T1;DnmBd(kJ;d-7@&Ev(^zaqNDrM7|P< z`!F=?^G35CNAvra$iFK+=ycmUTTJ$K(dp_ zy-b;)w-|&-ncg7Z*t8h2jEW&z6{uAuqQ`?(WqKZ|6_zueAU$Gq=wn+m9cXyRAJs>_ zPDitkHwYF~m`xGI2#nMqt8ZI0_?_;{LNMgeXdeoypgAU=VE|BZYJO#jJXm#3XJRnF zjOSqRmY;p`?h6txt1u*2VMwa=sBBsxF*`%wh4H|YljVN4dnPQ14hJ&Ga_LLthk{HH zZ~lr>?C{$%3a&Z7$5LdiB9!Yjj2jc zII>imkr9tGH!ZOyiitt>xhP60LmRNLpIB2WO1UmnIAV3aIF|pIy_<~ZzD;f;-G=xe z3>M&ET(9~EYRA0j12a;5K6j(6U;ecHM3Od%d^w~F(F*dVr^20cPY-IomtbR~l=ZKc z$j|p9-;lN;BbFKj7wVSH)MagLgb+PVisW5V_SNl9x7+rzr#Bqk_58eUyT>;M!rws` z0)uvrR4#v|Mm^Y zjg2L)1Ga_D%jhez6z%@{D)|GQ<0e9S6e90n)4`zB&TK!FG&FHv6};_jD@QB-RPo_g(cQ@$5E*$N_-M<4hZ9>WEX)jZn||52;-(wrzY$- zmdGD}KIwVQGlVmgXEgY{CdF z)@_cxouo25ea8)IQN{FHRHn6ckxfpcM8q$Yh-{dj>LJ~8W7WCA@(Fc83h86}P+jb`PVp(j3i+R66B{7KarzI_8UGQ;WWN=F6#$>f zyZ!6=`hc&KYZ%MW{2$te%iFgItxMVEH>LwvOsf9re8&o^(rxa1(Cc(Lwqoib`WN#h z=F5aIVvxi|2r~gmlff@JATtUjlm0-6SFB1G$^BonekA=lZL8v^7@tMDM3yJb( zGKe!~LDj#U?@%G{9(p21)hsAFeUByJAl(SWS*Geb17ZU5}R}vKImR} z0zdH+tkJOpN2HZi@_Y!K5qWh~@3X9Lgp^DEs9#o{_!qf$OgN)L>%@0&>xc9{wg`G9 zG6T1u!sWoQP=y}dG6JD<$)%q=#U+RABzVBy7>^#`d3;|?;gWYdmZb4F^cHrXAPOUx zG!8xiLL>3P5eEaK=3yKC1DrF!$LG(`hk4w=(GVl?5i`T5GVEb&u<-w|TNsl>Av=8J zVGr}s83Ui}#!DHB597`l{23|F<)jgUTfCjw2us5#@Cg{nQ9&Fv!spU#{lgM@U1z2_ z$Q>RU?!JFc$*JUF&nwyUZe>YTmO*6YUde>wvMg7kjOmCZ9-<)}ez>-8@n%Is(~WGG zh+IjmTr7NKkpJTEM76=v8CQGdMXN%(pEO%*IdWKkM20DLbdn-BG zD4_IfS=gwss#91_tZ=cI8|%VG(;2ltHGhiUOj0D1uiVpJ4eQzdj^I8~tO^cRV}xLB zB*DEzKFtLF4qKsfM*n)SROkf+X%Z^%*h(P#lVy4r#{>I+Az17x_C6Sa+i2*h37a8w zTIWKSC&yimaV79m%MwXhaL%R0{Rr{ zkib1M6bb0cIJh^GLWjaJCUjf9BYk3lOSOL35n!GZ?I=gHmV7U9HLH-khcarX@M!pv9XS%qvoc!7PlS#JTA#44a;~NIbC++4wCi3kII*lBZ zngmfv$-&lXb{ORd12-5aZTVG_4$k`?_2Z1EwR4y#^dqZ8NLe4pCVe|8TsT80WL4On zWzPJXbsC^Q?*V%A${w zjV1d0Oi%(kKj<6_`nQtOi=y^GdTqb9ea<30YdzgKRo4+3FeSMZ$u_nbx;YQ|Luj$+uMdge_#OQPBcOZ|Xqw zrFX1>$j`-k8Q@VP!MC4YU>N=rS-6A)A1iq>0|iM0h zs^DG8RVw4=!Cjr_>nN;2_YrAMf+6&xjBNgzGFYkWYs#i=;ozdX>Vp!}9~(O7RH3(ywUPw(nZIWlE7rGJ5-{L`$? zFWLWPI87JF5oGYb`vkWi}S)21FW<>fygU@xm&TcdFf1Pd&(aFgP*Q5m;34Rey z%==H%k&LQhPi(tQVg0-wormpaFLiVqr^WAK_n3pOML5ID+e_x1iigAVf}^RHWkrro zz!S-jv04m_qu2RsN3(71m?@a2N|f1sp~WRLsI*a1W)P z%wgmuQg9d!(n7dMP6e8hp_-uz+o%^6>t~}Km{De0#E^mkpmU8a2VXKx);u2 zEC3a77z;oJ97cvze%SVJrX@a2PpIF$;&m?Lj}8!^lg$F~i7^ zioDbt4r2kRfWue-D&Q~{fC@N_1)u^BV*#ju!^nY(SvZW!`<%t?$s9&rrWOuk0jPk( zSO6;EFcyFcIE)3L0uEyVsDQ&*04m@xE({fCFKtS#l1i^sg1O6ag28iDsYDFtM_yEb zfjLm|yBQa(!C#z-icAD5Ya-w-Eie zBb&8mV`{;B^aMnl!QW*~M9QNlAOh;eV+XIYIcp-|D0q*)SVW{q@&rV{M)24%kCA|* z;5~Y_MEs=^sdjCvtL>pvLC}jEMgJ~cb*R?#ww5{i_j+h%VBknfy{&gxUxTQ5p4{)h zjUI&pC*>iLFaMF0qIys2>ELfXW&?7(Uv;K<@>(no!PAq8G4cGHC361}pJA*Ede6k( zw3fF?@6c$s=`+>>yki~Uvt8Tjgxz{po$|Gn>uA;^NA17U&3KCOkX|Iq9nCOP`OEr& zuNQu@@jC6WW)DXMlhy#Lm$_zii8?=fS0VeDR$wSw{XwQwh$XX5x@ofYP{$dAS` zce)w(WR!UAuZ%HK8f5r zhou?HzfxfVKurGSdW~SF=H}35=HB+&8VZwRcRnv~Cy9 zoUZ}oh!h?m$G5Oq=VkY2*y56GWJ{KX5<43-X=LY_-+Jm(@wqxhq2Q7w(hpkIi7B|bmVdse;orTaoy6Ie zVfeSXuQxvowRvOIsr$VoocGCtAX172OyN+5ABC@LYvEP+8s3T5QBl_5gHPCj?UbH8 zaP^LV8UA~6-?lB=p(o$fZ01{W1$XQA@On>onzqqpVM}oL$q36e_}~D09uWaS{jb8S z@O3(h{Zr{rI#?4hc3QS^pqo3U?t(6#7$JD2R5*F4Ic!PgWQ*NQ{hO(O^LeE>e-k~} z8`h80wslkUlI*YRCK}+nU@XF4@9fbc*$$Z=AyKH^Nto%sP$EC*C)!*y^pDU4{i5syL z&OYxJEdPNUoOgxFFyPc5qS<@l&__G;-#(=azg!|e9oGduV+&PaQ4k5MsB)+$*-H9_ z3i+z93U6p;tIfQ^X3ud^<$cF>ZR1(b)eB`9tqgzTlrsGJ68V#TWk_djp$>Vg!%rmD z0TuPBo&o93LKjBs!e2Y33%^t%-|y=JXQs#aS|~!^ijWo}eb+X;O;?Xt*{|+kf6%tx zMT~UrrwezInvESNVZQTjTWfxJ*E-VC%5DT1gXUq_%I>HP<{&hb*M`_oL`*tznPF0i zj!H`oLdzDnX`uPckCO5+=5OlQQuf5r_VhI7M^#>a#ySFmjCGJh4O6M%TmB#pffFW< zJ!FtT-b80QH;v&#;DiVSlk)KG+3^X|x_6(v_B!&oK6+A$01UzB#BXAKvUz8F`zIA2 zjwnn3$8WWL^462b3^NZOxjF$H#mh=FI1DonPNgydoJs_TVdmo_PXNd7`_AxTnE7zT z3E+4=t0{xSFq@n|^@uKpna`iv1o-%Eu1{XOw|zf=BZ`d);D`c42yh;Sa44-$0>=~R zlLrv!kS;pOc!{1spS%-;BTc}cP%+P@r|Fs3&`49(#d5S4d6INRuaaA*5c2T~K4fpg z@A;M_ssbDY?1>OYFv8Fq&!DX~8wzIfuv&Cxz#&Ef3p2zxuzjx8Ww`o(0U7KKyHv!q zK_AA7z!*{*^cwV_1+B#cz@nID)rJ8MtRwXrdNQc^J85=%{*{NZB&C^^VSod3$>3=1 zo~MiS1XnS@l&1NGVSoes$>8|?M{#k|w9twa4Sszdi^3!r2L_b^M$16<0%+Z)f8hhB zsTO}2#({-pfY75aU=bnUrCG1CvW>zqKPhiLQOXN}A0Z0yrZD$v;^- zJhPWJA9&tpa8A<3tK{|mjA_>}4QC%K#)pQxPX(%b#R;$A3Y7@1(L^oPVOXbtG#teq zqVRorgrWW|f3_WE6o0WqKJGs_z6pkIBgQo_#n@om#dLbpxwS!=(TcWQDx955Vq@Go z7_m|-S43$9zBo}JG1L9CCGsay@MYcV+q#>MFn_C6HibKpqTeO-v8|a7$~0Ma)Cs1+ zA7M06O2O*i$hFJYFUb|*_7xmb@`2uTpI#Q%Dm5{FG{`PlyMvOs zgPDW?RGjpGRwA#1#0TcC<-Ed(4J((tH=CiI2tW0XXTe=Tos(_`y@}cLt}S0*!f3v5 zU>s;I%Wbx$&l6x?8b{I_bgdZ%C2dmC$ONNKW_mbmnnQnRge(BAv zyD)fNUHcFg&$}c84kn>Y~snq4Npm4_J>55oe1E%0alm>uFrH}V@Mw8bBd0%sIW{+;ty|7Zr zQqOq%SZ4(S&ia0LT{l}hdq_`37iGI=I_o}<#C3Lr zPr23X4gz|51^7bO5~BXICE~znAc?rsbB%UjAJW{CAS8y==eZ!1h4EbzZWaWHT}Xah zj=o^pW_4vHFm00x$JXH5~|@(r0ZnmT~D6|iIk9;dW0XoZ5>eT^ko=UHWY6NyA`> literal 0 HcmV?d00001 diff --git a/end_game/end_game.sim/sim_1/behav/compile.bat b/end_game/end_game.sim/sim_1/behav/compile.bat new file mode 100644 index 0000000..9b6ad02 --- /dev/null +++ b/end_game/end_game.sim/sim_1/behav/compile.bat @@ -0,0 +1,11 @@ +@echo off +set xv_path=C:\\Xilinx\\Vivado\\2017.1\\bin +echo "xvlog -m64 --relax -prj main_simu_vlog.prj" +call %xv_path%/xvlog -m64 --relax -prj main_simu_vlog.prj -log xvlog.log +call type xvlog.log > compile.log +if "%errorlevel%"=="1" goto END +if "%errorlevel%"=="0" goto SUCCESS +:END +exit 1 +:SUCCESS +exit 0 diff --git a/end_game/end_game.sim/sim_1/behav/compile.log b/end_game/end_game.sim/sim_1/behav/compile.log new file mode 100644 index 0000000..7d38d7b --- /dev/null +++ b/end_game/end_game.sim/sim_1/behav/compile.log @@ -0,0 +1,30 @@ +INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/hp/end_game/end_game.srcs/sources_1/new/alu.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module alu +INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/hp/end_game/end_game.srcs/sources_1/new/clock_div.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module clkDiv +INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/hp/end_game/end_game.srcs/sources_1/new/control.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module control +INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/hp/end_game/end_game.srcs/sources_1/new/cpu.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module cpu +INFO: [VRFC 10-2458] undeclared symbol pc_jump, assumed default net type wire [C:/Users/hp/end_game/end_game.srcs/sources_1/new/cpu.v:10] +INFO: [VRFC 10-2458] undeclared symbol pc_freeze, assumed default net type wire [C:/Users/hp/end_game/end_game.srcs/sources_1/new/cpu.v:10] +INFO: [VRFC 10-2458] undeclared symbol regfile_regwrite, assumed default net type wire [C:/Users/hp/end_game/end_game.srcs/sources_1/new/cpu.v:12] +INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/hp/end_game/end_game.srcs/sources_1/new/main.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module main +WARNING: [VRFC 10-1315] redeclaration of ansi port clk is not allowed [C:/Users/hp/end_game/end_game.srcs/sources_1/new/main.v:24] +WARNING: [VRFC 10-1315] redeclaration of ansi port datamem_address is not allowed [C:/Users/hp/end_game/end_game.srcs/sources_1/new/main.v:25] +WARNING: [VRFC 10-1315] redeclaration of ansi port value1 is not allowed [C:/Users/hp/end_game/end_game.srcs/sources_1/new/main.v:27] +WARNING: [VRFC 10-1315] redeclaration of ansi port value2 is not allowed [C:/Users/hp/end_game/end_game.srcs/sources_1/new/main.v:28] +INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/hp/end_game/end_game.srcs/sources_1/new/pc.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module pc +INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/hp/end_game/end_game.srcs/sources_1/new/reg.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module regfile +INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/hp/end_game/end_game.srcs/sources_1/new/seven_seg.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module seven_seg +INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module sram +INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/hp/end_game/end_game.srcs/sim_1/new/main_tb.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module main_simu +WARNING: [VRFC 10-965] invalid size of integer constant literal [C:/Users/hp/end_game/end_game.srcs/sim_1/new/main_tb.v:46] +INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/hp/end_game/end_game.sim/sim_1/behav/glbl.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module glbl diff --git a/end_game/end_game.sim/sim_1/behav/elaborate.bat b/end_game/end_game.sim/sim_1/behav/elaborate.bat new file mode 100644 index 0000000..20c5802 --- /dev/null +++ b/end_game/end_game.sim/sim_1/behav/elaborate.bat @@ -0,0 +1,9 @@ +@echo off +set xv_path=C:\\Xilinx\\Vivado\\2017.1\\bin +call %xv_path%/xelab -wto bbee60fc56e8409db2cdf5ab01427605 -m64 --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot main_simu_behav xil_defaultlib.main_simu xil_defaultlib.glbl -log elaborate.log +if "%errorlevel%"=="0" goto SUCCESS +if "%errorlevel%"=="1" goto END +:END +exit 1 +:SUCCESS +exit 0 diff --git a/end_game/end_game.sim/sim_1/behav/elaborate.log b/end_game/end_game.sim/sim_1/behav/elaborate.log new file mode 100644 index 0000000..c220bfb --- /dev/null +++ b/end_game/end_game.sim/sim_1/behav/elaborate.log @@ -0,0 +1,35 @@ +Vivado Simulator 2017.1 +Copyright 1986-1999, 2001-2016 Xilinx, Inc. All Rights Reserved. +Running: C:/Xilinx/Vivado/2017.1/bin/unwrapped/win64.o/xelab.exe -wto bbee60fc56e8409db2cdf5ab01427605 --debug typical --relax --mt 2 -L xil_defaultlib -L unisims_ver -L unimacro_ver -L secureip --snapshot main_simu_behav xil_defaultlib.main_simu xil_defaultlib.glbl -log elaborate.log +Using 2 slave threads. +Starting static elaboration +Completed static elaboration +Starting simulation data flow analysis +WARNING: [XSIM 43-4099] "C:/Users/hp/end_game/end_game.srcs/sources_1/new/seven_seg.v" Line 110. Module seven_seg doesn't have a timescale but at least one module in design has a timescale. +WARNING: [XSIM 43-4099] "C:/Users/hp/end_game/end_game.srcs/sources_1/new/cpu.v" Line 1. Module cpu doesn't have a timescale but at least one module in design has a timescale. +WARNING: [XSIM 43-4099] "C:/Users/hp/end_game/end_game.srcs/sources_1/new/pc.v" Line 1. Module pc doesn't have a timescale but at least one module in design has a timescale. +WARNING: [XSIM 43-4099] "C:/Users/hp/end_game/end_game.srcs/sources_1/new/reg.v" Line 2. Module regfile doesn't have a timescale but at least one module in design has a timescale. +WARNING: [XSIM 43-4099] "C:/Users/hp/end_game/end_game.srcs/sources_1/new/alu.v" Line 1. Module alu doesn't have a timescale but at least one module in design has a timescale. +WARNING: [XSIM 43-4099] "C:/Users/hp/end_game/end_game.srcs/sources_1/new/control.v" Line 1. Module control doesn't have a timescale but at least one module in design has a timescale. +WARNING: [XSIM 43-4099] "C:/Users/hp/end_game/end_game.srcs/sources_1/new/clock_div.v" Line 1. Module clkDiv doesn't have a timescale but at least one module in design has a timescale. +WARNING: [XSIM 43-4099] "C:/Users/hp/end_game/end_game.srcs/sources_1/new/seven_seg.v" Line 110. Module seven_seg doesn't have a timescale but at least one module in design has a timescale. +WARNING: [XSIM 43-4099] "C:/Users/hp/end_game/end_game.srcs/sources_1/new/cpu.v" Line 1. Module cpu doesn't have a timescale but at least one module in design has a timescale. +WARNING: [XSIM 43-4099] "C:/Users/hp/end_game/end_game.srcs/sources_1/new/pc.v" Line 1. Module pc doesn't have a timescale but at least one module in design has a timescale. +WARNING: [XSIM 43-4099] "C:/Users/hp/end_game/end_game.srcs/sources_1/new/reg.v" Line 2. Module regfile doesn't have a timescale but at least one module in design has a timescale. +WARNING: [XSIM 43-4099] "C:/Users/hp/end_game/end_game.srcs/sources_1/new/alu.v" Line 1. Module alu doesn't have a timescale but at least one module in design has a timescale. +WARNING: [XSIM 43-4099] "C:/Users/hp/end_game/end_game.srcs/sources_1/new/control.v" Line 1. Module control doesn't have a timescale but at least one module in design has a timescale. +WARNING: [XSIM 43-4099] "C:/Users/hp/end_game/end_game.srcs/sources_1/new/clock_div.v" Line 1. Module clkDiv doesn't have a timescale but at least one module in design has a timescale. +Completed simulation data flow analysis +Time Resolution for simulation is 1ps +Compiling module xil_defaultlib.sram +Compiling module xil_defaultlib.seven_seg +Compiling module xil_defaultlib.pc +Compiling module xil_defaultlib.regfile +Compiling module xil_defaultlib.alu +Compiling module xil_defaultlib.control +Compiling module xil_defaultlib.cpu +Compiling module xil_defaultlib.clkDiv +Compiling module xil_defaultlib.main +Compiling module xil_defaultlib.main_simu +Compiling module xil_defaultlib.glbl +Built simulation snapshot main_simu_behav diff --git a/end_game/end_game.sim/sim_1/behav/glbl.v b/end_game/end_game.sim/sim_1/behav/glbl.v new file mode 100644 index 0000000..be64233 --- /dev/null +++ b/end_game/end_game.sim/sim_1/behav/glbl.v @@ -0,0 +1,71 @@ +// $Header: /devl/xcs/repo/env/Databases/CAEInterfaces/verunilibs/data/glbl.v,v 1.14 2010/10/28 20:44:00 fphillip Exp $ +`ifndef GLBL +`define GLBL +`timescale 1 ps / 1 ps + +module glbl (); + + parameter ROC_WIDTH = 100000; + parameter TOC_WIDTH = 0; + +//-------- STARTUP Globals -------------- + wire GSR; + wire GTS; + wire GWE; + wire PRLD; + tri1 p_up_tmp; + tri (weak1, strong0) PLL_LOCKG = p_up_tmp; + + wire PROGB_GLBL; + wire CCLKO_GLBL; + wire FCSBO_GLBL; + wire [3:0] DO_GLBL; + wire [3:0] DI_GLBL; + + reg GSR_int; + reg GTS_int; + reg PRLD_int; + +//-------- JTAG Globals -------------- + wire JTAG_TDO_GLBL; + wire JTAG_TCK_GLBL; + wire JTAG_TDI_GLBL; + wire JTAG_TMS_GLBL; + wire JTAG_TRST_GLBL; + + reg JTAG_CAPTURE_GLBL; + reg JTAG_RESET_GLBL; + reg JTAG_SHIFT_GLBL; + reg JTAG_UPDATE_GLBL; + reg JTAG_RUNTEST_GLBL; + + reg JTAG_SEL1_GLBL = 0; + reg JTAG_SEL2_GLBL = 0 ; + reg JTAG_SEL3_GLBL = 0; + reg JTAG_SEL4_GLBL = 0; + + reg JTAG_USER_TDO1_GLBL = 1'bz; + reg JTAG_USER_TDO2_GLBL = 1'bz; + reg JTAG_USER_TDO3_GLBL = 1'bz; + reg JTAG_USER_TDO4_GLBL = 1'bz; + + assign (strong1, weak0) GSR = GSR_int; + assign (strong1, weak0) GTS = GTS_int; + assign (weak1, weak0) PRLD = PRLD_int; + + initial begin + GSR_int = 1'b1; + PRLD_int = 1'b1; + #(ROC_WIDTH) + GSR_int = 1'b0; + PRLD_int = 1'b0; + end + + initial begin + GTS_int = 1'b1; + #(TOC_WIDTH) + GTS_int = 1'b0; + end + +endmodule +`endif diff --git a/end_game/end_game.sim/sim_1/behav/main_simu.tcl b/end_game/end_game.sim/sim_1/behav/main_simu.tcl new file mode 100644 index 0000000..f09b1c3 --- /dev/null +++ b/end_game/end_game.sim/sim_1/behav/main_simu.tcl @@ -0,0 +1,11 @@ +set curr_wave [current_wave_config] +if { [string length $curr_wave] == 0 } { + if { [llength [get_objects]] > 0} { + add_wave / + set_property needs_save false [current_wave_config] + } else { + send_msg_id Add_Wave-1 WARNING "No top level signals found. Simulator will start without a wave window. If you want to open a wave window go to 'File->New Waveform Configuration' or type 'create_wave_config' in the TCL console." + } +} + +run 1000ns diff --git a/end_game/end_game.sim/sim_1/behav/main_simu_behav.wdb b/end_game/end_game.sim/sim_1/behav/main_simu_behav.wdb new file mode 100644 index 0000000000000000000000000000000000000000..9e8224847376116e814e25d811af3dffa03acd2c GIT binary patch literal 36357 zcmeI43s_Uf*09s|w6@2#czRK*MzmV5Ra8X8Xz-3!4OUdVVMIhk5Rj0BO9Jh&t+rOs zTD4vRZLLi!6;woS654u+H^iDMcTrI>T!Kh|5N`ikvuAsD_c8dL{@?ff=YPI4&$F1> zGw)urX3d(}v$K6%;veWA6yDEs%HkRQrcYToW$F}<8U03$7A#@vJ^VMw1HHq7Lj^QV zrg_KE0xv5Le@4HVv**IZ!^{f=tuVKLfxH-G7zmj5bzrcczmMQ~xWa_WkQgNx zEm$U4f>nY6AkhA`%*Q*>J5=fqrXUXJ2OS+9F?h=ekZypG`=5gx6E?6yD&S8$+~ETG zWB6HsF|t^YMj7D|CI$F|W$*||3urE<`L{HuLtn6P;cQyNWT+dHg%(iFswoK5BzOiG6vk5m0hma9gSTKcdyNDpF#U1D`%y3< zi{Xel6QEFl)CJ@Pke5J^eHR3EzX3wJg&YIp6_DYyu0Q0++S6;uNJ3jM;eBs|;C-l% z)dNLhFUw$PqP^oms0*O<4+iV74egr&VvCs!Z8#2$4_gd|{fi*dPMERKhJM)C3F8E9 z5E_C_oiO8|tt-f`PME(z8_u;BgqgL8@Ypna7fwiq1yYap#4 zXcwDUJviSHAUK8>Auwz)JD?q7@QqFwCA7Z@(jOQ$rZLufgZO}8+~8cHFNSa!tnUNj z4}xW!D_k2+90v8g4T9S*GH7Q{5HW|r`5FKc1A+|N@D|8CV9=LrV#kjC;P}z+OMpSU z*u*jz$H?FqRs%yGArxX6jAP_*3>!LOaE^o^;hivO3(o6joiJz%#@T^R7__AyNKz*Z z+R`87XJF8mY+}cSYY+X1e!mC|`jSm7gY${?==bZLFax0-1rvKO#@v$<`WqQ+LmNQt zsW1aLzEHe$<0?c#SzGZu{s?+Oc2U7x5m* z{^@>fpG@l^dt&>rr?lf<*qMIZ2Rm!WeW0^;+(+2<=QZ|`h;Xdmuzw(iGv+}}EDS903l z0b^Mf?MFM&el{P$X~!|4k1z()+3W?@RqelhuN=4uwr`5azj(*xT6&<6J`<;LSAL7Gf+zCPT) zP{l3U{=f!@>jk+j7@Ux{)10plZ%1wvov86N=W}>Fas{;gmJJT8k^2Ax2GSOq^Yvjh zau)W1i<<|GK1A*Z+J4jqhtF%|hPa{@h#Q*o_2D@YxvR9jzy^nBMdZRK zLjlqUlS%eZ_F-xMf78bWivKAcYE&eQg*HaMIn^`kkTbA~qLex~i`ZE)i$ zw+VjEO4|!;aJbD9eY|}G&moxDePbq+i9X)G!RK)M zM2^32@VQx(J}03ZKd$(k zJLULs%ID@&t{ZLWNpn8;KIPJB!_PLj1(aJwe?!2>9yRW#@8TMTWekNijp*^BgtBR=OzxgTizQ5)P6%FV}vDx@Ve=j&Sv zZP>4cwv!(>;hN7aqukecpoR1U&H3DNXhVIo=zGt6n)A68l;iJfbXv&oD1eaPicueb zf97*5slE?zV}-PZ=6p^HZK!VuZmf{-TMH&Ww+iY=zqGx;2Ioz=aNK|)ZJ{||-)d+h z{qpu9>Ym5vcOS~}$IIu|P>w%ue9o70{CVSZYbnQ%dp_q!x$vP-fb;>)`P@2a!}0Rh zFMYiwza!!O{*>ddUp}{VXIiFKfE@U_qAcfPM&xJ!9j-%(hNWpoM=6o&!+K~I1wx74bMN)3v2q-`b zp*de)6tt1JA4xDlPc~;RANx;5xpdn8vkgv7xm6B~f^{_K_Zv+)emuX$-1d0A#ZZpF zzwo&aD91nN@VO5u$3N%rxxZ76e}3U}n<&SRKR)*n<@oW(=RT$!|9Q#hKA{}{9LDE1 zQ|`r4P=M5p=6r4ov|$_^rS0i9xKAm!WHb~Yt)e+!-)GQ<`UGR}51bEZ&gZs58*)F; z_MQ53vCySMf&m2i;v?f7V41unzsL7gNviwEGH;Hnoo0n zzuTb=^*yBR78~3S%6&Bs3Xs00IbYuw(1!XZJ0k_>6Pok6ozRBd1KR%32KOc9wvUGb zq_1es*S8DWP~UhLq@aJA^SRy7hTJXM{=f#ehjLr+g%r|un)CI21#PHr6uyu`8c%aR zw-?%wE1>PSY;gN1HyHzrlURM2KMS&-w#|A9cYt#9pTcPX+;AvkLVfli+P{xF|ybOYR*@S*(IeJ_t+$#Xs;-=7>QQxbba5FfZT_@ao9Io3FIGk4; zj|YeQ+Y`7KDCfoDUVj3|j&~i0!w} z9L5C8;qw6#a-GFJ?t_?+>n!fs^^P3IJ%7AVMf|MA0wm-xPWc>bUn)Y7ki$5&#hpe7 z5_0`OY;nIq8z$uXgV^HA5Q2o<+aR_$6GD)X8wkSZunpsiZ9wjwe_UeA<8RBzb)yQ9 z!?kQnUq22vxD#$Pha1ufH;uy$>x5gt;cyS3mr(lSIJ`L=9^GwmAsh~m?zTAcPfVd5 z{enkrTii~LK0Mml;C8n6xR!@aa0En^*CV~+D%2n8%7_Yz1y zTE;rO#+<|pO+n{4vZ1hhukag3mGJ5OL>lcz!{UZNujnCiL?P%3(Pegt{F{%>Kgm>(Ajr zppDxvj*I02INU}ahvQbY^sp3x9daX5TtbK?}BcbE|Qh{Gx1nyU}bd6;mF zpK~}RT;nAQj+^)ia^$|?aN%%`YmDXa`H2ZRHsM(Sb=dMB+Jot79J_Bkjbqmba%dNK zKJdBC`k7rHPvZJrd;jA9j5_iF=#FvFq(g+yD;8t|R2oM^Ew}_Im*T zKw>$reFLE$ZAK)a6Yd=j_brFRdBjT;?0*ml>cje@op5*#U^!hU+z<|z$Kh}++;I%$ zaK)W)cwS)peb5PqdpFB9bi(0zf#sgX0wlBz*BaM8JTI`^UpX8y-1Rn+!@bGjFt(pG zzvyS-e~}x^;W~To8BaM}x7g>C`o%hST|SLtpIf##P(|VgeU6Fcxcu*9J`-Bi4$?SO`Lvl z@94*An+Ro0*bjS-V9(y%afqQE)u3P4bHtN4R}QzG_KO^jpSurD=5TvB92U9#PJwGo zc>i7whq}1jRD>YmIQDnKO(PV;#dpF@=Wt(l!p-1t-*&>y*poO3hhv|s$YK0(GccHkxJXHO_&!uibMaQNQI^~(~3 zAR(8_;qX0?%PmC+5^{wc4&M{G+%kk9Ay>lT@ICQK{zLBmf03)wlOZLD9GLmBPBew#QPdnRJJ6&$Xe!*PH2Kt1?dGOO=-SYT|w+}}O0eI!(`ZT0_Mezp~#|7!ie>VMo@xe3=Jj_F_3V~e+?|KF`% z8WtQP*x>CSB$dJcwj}TiTpdU*N0GmR*+Kqc{@#I(W2K`<3#J4ry(45}U8JMOunkV* zq@x|#i*Z1WWiQ5#k&bo}NPNQ<`1*OvWd43ZPGh8F$NaIzQ99P~k2PbZW5@oXX0)?( ztdl?%>b+qU`74n5!v8cRmHGO?HCq&{k%x^E_=Ly>K7j$#{S{CqIW^gFlyuY|lsbW> z<7ngPF{7pI*hY_al0F{W=+Q1xM?r|sC=fv?_6L8~`Um<7yaVN<@Q=VJC@eG(Itzw8 zIFKrIl8zqrxcJx~&Qd3~Lr3YjKUgycoap?=8fWR~@qertFCFdj#~K&u7`C5rQfI1s zjFWUcEjo^qx}YDxY?*JE0RB%T-_THb2$a@%hj|Nv$zSiF;5EJiQdGc*d`AmNHb&s) zu|VLq&_m$nIYTgi!QAPB5UD&w8nz)sFn{h`>0I||bKIcb-EFGWZSK^$f@#y{&T(go zGpBh>rN!xNKAUL*rR4u+0>vap#ia1w!YOXjg)GioO`F5qMBAte=4ze?w{3yPLeAy1 zDf1UDS}=oCvtWkD3@+g@YxYd;^`iOkzmNUlhDDNvGyb5|W5(Rk9F1@}=8u<-f4m&a zxm@HiV*w71tAMTJYGLcRs@OWJZ-M(XspstJ3ug%yJ}%+pZSdV7^RYK(LRRw=NR? z(5Nu=EMI{aO^254h{_tlKOD31hNo;zf2k&IWh9xG+){ z>LYW22ZVIALy)i1fjlq5R*zH&o^qT2271?O-*w&!2Yk9b{U*{^usqbqSH|h@&)kPk zjX!lC`&{`mH^Wot&)gQ`^JngYfcrDIL9qOp+vs!dPu)uPiZ%WUxET|kw=j_l_=Deq zPy(rZ2RTX!mABwPh%%qbCy=9LQ!zu1;s6IM6s~T3Uq->-``CK?Jq7EAfehf(<8RP- zro-P{v0Vy+zdPc;%?Jaz2ZHT`Kn8;h0T~K{dWM6%3xeN$@tZDwzr=5Ke*@vSV;g?A z#BY+wj0M5(Yq%isyAys>!tX`+Eoc(R6p*PP(?IawQ}hGr5Arq$+CLEF9T2Wvs7ncg z{~jX(BoZVFL;(A|WEaS8kUbz@f$Rm@ z2eKdJ0Eh-89wY(eYmje1z6Ci5@;nIs&V>HM-{kOjOY|}Rj)>RzO^REGzZ>Fjg1ta` zgY*Frf^c(c-*UTy^ZzD6 z6l595a*!1uULaBsZ;;g>J|Mmzejw{W{6W@(1b}P+2?hxP*$5&7k%K5exUmuq*D)X; zf?!;10r?aJVm;2=Z zng467V&5mjpOF{EJSdkxqp$Odym@)9)qBKHm#MLDt{$|ws!3;d z>Y@0k&z-4vj&Gm2dg06wFD>7E=F9W;3zMc!9az$TL4c&z1kU8^`kEzaAJP-}`(_1+d5;1%}ku`XSd3y;d3Z%)lU(&ACM zr}jX0;zRe~4-ZO%G@6g?3!in%De2qps#>ZHPb|MUKwoNYJl5NkF8N!UwBZF^o2vWf z&wfeU6x=jesqOeFQgFcau(YqXatL)!g@m8pXxZbhURT76A{lS=hL z>B9C$ADS->FI#u3^*Onpb-s0Fj{`C0>`?Q#R*#IPiNO`E+rD**jMK*48F!`Zr&A5d z=7j8R^}&Zv)g?Uox&FR<>7<>a!HewE%G)LuFOC1D#%sJZ-*VS1zpe6W^DyLpTrZbP z7pT1AD;h6_y7dTh%eQ`RuDR8^&D#3zmATR$SMr@)oYi>K4<0Hn?TzwRZ+SW@(;ly_j4&13K^2&N4IhTx9lSXb{ubV_# zLxahfn?F9A-%wfPls!Zlc3TnlO4&|Sm8e`wT5r5b#?i}us4i)EKz^l9#=)*>2Ll>^ zBo>zk;Be&)u6xKmQPb+=sR5oXT^XqDI)Szp6KI}k7Ma%x3sqjxWpAm5lkViE*;sqM z^?lOay*%o#;kqRK3TX~Zli^~`gI?`}h@)zEFth23{;OI)aiz+uEKJ=tNf~xz7+O`! zSS7sYoZZpfdKiWgvzq8NU4TLUH9x;T)Xg(1RFrw+2xD5QF)AD8eYS}4*X27^nQ4kw zu3TaKB_a2&WdiY0E4ih<>u1S{<<>|~(}R7BA#NYuA=TM!#81oY$sn(%pR!~|S6Hp{ zO%IkW0;;;4G@tV%hF8XnBg1LRg!!qpT2-b8>pgJ|Y$a58W}fz`n0b1zs!lEs$iLN_ zcr{VxNvyiBB%T@(bAZgeZip^vPC&l72jlwi7y{kg#8?$Wmef7hFXe6DD2}u!fyOQ5NF8(bwI+|!Z>yO&@H>d_VxRa?Yg0<;> zL-WgU87^VZiUY(Ixy~47!8huc29bE%m*5GDE?;95F=74iSH@#XvIuU}`+Myp(X(-B zom`kCN}NJMdY^hcnUnCZpfP7@p4Rm}5-8czMdgfXIx(T{+y|Ylxsl?X$%imB zdX+9#c{Tm6`K5+BZ3r1-y@_I%Y6-TlWXO7I~ls6AYn5yz2-fmvLHM#0sk&}8k>9p6%?ZiuIjEPlWK;J5_ZEa`sQ^5lpH~WKV%27NlB*4Ghi>ds)Kl)blYlGv$21S+*_VQ zTwdwAi%>0Lb#kvN>ja3!qFoSU;%{1?k0a5SenjHL@e1s-@#WZw3*&w|-4SEGH%wl#J?5QBnaRq_FGUU5y!i4r zb~B5O`+aK6sT&p6M@EJ9*QE+;b%w(Fds?-*uC~B9pu(lf-_qfY#~*HF4f8ytMh;C!;sm{?q6KBTQSH~ZC?_x7kZ zU)WP)K9*l?z8zC!Y!TI%HKkxoUoa*Cj46{yRmGBosC-F6`_EuZvPNv(s}Y-BMe5q^ z8gb9jC+>{@COG!EA^%)~@zUU8V^?jFF~zUI=;2pvEH67@aMNwj+Rr^lpR%>hXQVq)c#m41bYs?L271oOjMC!U; zBDHCmNNs&Vq`uQ#q&6!=>Ia?*>&?H4)JLyL%2xP-GmaVd)E+bRFr^y&YSRqb_Ep-r zjtdg|=B=9OqgNzlUcuU6`NNq&^m)&CB-Hx;IsuKkX`1 zxB2g(Ys$xe;}Cb!(BmBVpuF1rqoKxJmr`xsA*(TOs#91^e-WvpzR>7K7fPg>^;(B{ zDTWGgm7`;g`NCG1ggG_l+m~w0ZG{T!l|CYMK)gn$`&lAgx<>0T@|dAwccIY$&7W3P zn}6G1ZT@C?jX7IXZJzR*r0i6&L^{0Ccqy^Q{PA&(IO~Qa;c$Vm+z#S#k*MvKw@BUe zzDV6NTBP>bq|s$wkVuPGYx9Cr41Ome9Ni0zK6ypP(+&m37KcKkD7nbEJh|9d1;MZj zg5eGXLr{W7oLweK2rrh{tMeuH)}JM1E$1cns`c97=pb#f@VH@*+ske9&&og4je&#&^&UiEl14WMB5=hzZQh8J1}!X~*Ym;u`9;QQ&IQIO=VIfg;%aj)*qQn! zEJwJ-dl!uUoFpMrFR{-7J2T28uHjcDW$N>ivgk9CvL$}nSC^}R-?js*wYgy~-e z(|_Dh0V~GQ1E${}Yh0+ri(%E#W$oNRCJtBw2on88kR z+@Kr)8-R1Qc^mW|36cE<1pi>z4>yX`p`XD9aMZ9_TWoA8OED;;VfnRPl}K|gOQadU z!ghE~lCVEO>z(VAJT|* z7bW&feYEzi=OkrqN5J>6q1Am28X^FEmsl~ws$e|ZVk{-=ujY3{HCOHvG;c_$2euE2`c!|cBfJMe6n{WUQA zhc&tmFNptVA^uwwRx3>R#dlxCDW$L@V6V%?aR{*C1(Fm9BpK+Kyyn>(DOkt*L{Z=A{L-DJoA?`PsEP*TkI4I&n$6 zyGEZc20T-Ue)Eh?Ln=aiIm)3u&hBsa8g)3)8Kb;P!BcTU>lmFHBr zgJcs>I4kGqX-#&l!UwOO$OODjt18I&a=~sA6BZ)VV^K8PyU3~_t@ky=t)&TT z(0(UZut`!|Wjb{_^>CJGMM?{qigR|v>94eJN83{CW*Ia3*6G^!Dbpk6%FO|7;Y8Ky z!jWj%l*W6BZ57FxRT<~}i0!X@Lb%=) zU&aS4^U9Vn6WO3oagfgQfruGNf?=O(7@77HY33DWO*-*TW%?&DhQyeqpieE8=gZ_e z;?>ksBMBI-hET0ks|VDE%&RiJntx+N;hyA1^>y$=#Bv zjyp(eS`I^~wK9`=D~++R<-NSrycVm^&D)jNG5X6MgLTu1C+}W3^sqh_qd^X6e#?Zh zwIQ!S=bjx8iA%0@g+bNiyq*ZUo3Am``#wx>gf#iQXoUe{AT@P3vA#aN`jV%ipkYO6 zK5@3w;4kB=-m9(J7O^>Cky4qyHuw?~b^FxK>wAH^hw((+wiJlFkD3~Er%qo_1b0{2 zttIh&<&sY2v<((R^BQ95I(K8n{p(JxVIbFM@UEh-7A+N3;49S?H7!z3@>Ir?RaCMxGAS`JpyBkX)4mW`jVZ*Kx@^|vP8K=o_T1@1 z70VWS)+!@5UxGQYx)aB4)jxLRqhxaDZzmUos0}R>zN?OYHI(t-QPOZlMnZJA!$mqC z*W+)jsC}ec3G3{8jOhSB($W1y!eu63(5X^$I?&XVtT$E+j*{wOAuWQ1v@d%o@pNk} zQT&TP*!umks*G`0hCH8t?`Hnqh|P(f;OQHF%*G{V)~pL%4EYa!T@a$FReOC&12M;$ zaiKry|54Y+L+@BHG=2BYe9uR(=AST`LN<6Mki{6`fuLv84VdT_T_tRHLBpTVcRZC` zT>onRVE}WZza%?K`wXJ-mW~CB!oP-*L`d!K36oaBNR}m&?WubVvxohJF-F4IJbh?- z-=C7aJqC8v*C&F?1`+YMMo6+t6=XllmClb$N?V+7-g3%ASJY8E9e0@t%xbHDK%8S5 zO>W5D2rES)KeBSa@*)H$`mjIa!`+MzhZ3x}c6WThBCl*iy#!+ug0P7R!kc8|PR-2D z{ovF!nOBwCYdb1?8COY+J8?hA)v)1BLb|U@kcP9IX zJeZ7o{n|qn6Y^WEi*F7k!-uU#L=>EJCGEXRG{o;4gN+#yv(A(!BKrnzgZoK;XKP8w z42mIpbgxN^Ba>3Hll3@=GEeYHWw6NnbH}wj5$@3`lgPlEFOyrFWw6|nk~*5S9fD!6 zC}i-AzY$aYyIlBlUEJrt&A2PxTex(e-?@qBdg^WY~@;o<2kX<=Rs=}&GmD) zIj-*G^Z9_82X;iZ&vF=(x#d{z0g4@W{M*MnnYZ`XZ{K+LyrV(lIBD;gnyq=R@t5=L z6Y`?+@_dq8sv51ocYXcu9n!tm>MHEr|N3{U+mXiGF5?;-Ek{CYHcsn!*if+O-OQLT zH$7OZoS1XAkLpo{eeLPvqUaO9YMR>?nJia&DOEC!NO$t4P^vQsrRjQMLROhDpq z*L6{KRxn7^3)OT@6FYnS) zT35QsWVzw3RJCmp=^lM1(pmP2#F3|k3C#&2adVPLtSS?_{#86$aX03p+Bl_Nx!Pp8 zKTxR}0b1SV`W|;omXfzjmat!i36{@9;@x3-J8fopl%ce)X0OR|E>NkG$BJ}eABw~= zJ4E8BPetN}4N6sOmdSD}%4GRvqEe;QiFBz~g;MF=*yI)WVjWi2#Kt8l^?uQJV%^(+ z7uvU97P>C0jIDKm&KGBv?@uhPOHDOd9&IpLeyxGty-XH!a7o>#WtrtZIZC}7#kcY(|ayAS+2nlRmH*t^<`l~WqNse42<4O*}5uDq|3?^mQA=7n`|Gd_gopO z?;%y_-I6oQ9XmGa2X|D(+Ldk8$Hiurx9CgiG#m9f_a!Aga$ho8il!^??{Qb?dq_6w zDuBCN927?J@ zq53YM+b+~(F|AOlhKK6&d!&~Sk5H=W-cza;!c-;6^@F#XEDzTzTh|>E>2l5p%a-1c z4NeNt=P%AEzZ6hX_puyCAE{JXB}&zuzA*XG%GQugB3;^V!m^upVe}jIo@trobBasr znuR7yHDHzRgYREbsve#YMIXH+EGw;v4X%;v^P95Dhj%HhJA2w>d9Xz!u7{ayhB#22 z7P>|PW}i_ms?8|h|I1+gi&4)=d)LM~fI~i>ZL+L*5bK^$8S8$gwCmW>IyF}uMRFU}9O`&Thj3(!-uq@-cuxv$TZ1M=DevfBnc~=O73kdKZIl01w4H@MgcA4en5PJ{55=B4!PNZx3T%>C_Akyjd zLTO<|tV7=rz1!xJy1jc!>Mralt-GCQviw$Mvb67qWmgPA53Z~EN~D_@bf>4wM(G=a z(#vJ9m+nmv7YY-`pB37Ra)quFp>vTzSSBtOmVH$nn+(yh=lhKEdhkr)Qj_KP2$RLq z43R%o*?McWQsrC~8|-yIHo2mtZdZoMvifdpT=(>HM+kyP5Cls?^l?cW^-aMcdSy_k z-nmCsdBB{Kx_iD5_kUHYg1|@F=Y$DMDq`b~K-kxY=$lLmeOfI<-8dM0Zy5YMlcg1Q z14W`poLVZhFS{LUr^qbt5|>_{6_-`M+&!bbDhv89HCgVgRH{zEM&bhV*;k?8>{e2D z@I#TVBUq{Odk`C}yBC|h4f<|^S-<7s-!nk=%Y;+4^8Jct5VRPE%DZpG#~gX42emW9xH+5K3%Ww7H&VawZGT6ZJ|2JfC( zK0GhId~04t`N2sh%VjZm{sWPC;@w#Lw$sA04y{O6@1RuG%VFy0DOJr7J-2@liIuRA z^mX>H4!@(DH8(`BAC&1BWARt2Y8_3MMwrZPu=`zi1plYN%DVt7?+vADJuD~jX`%h) zP`%wXSoEG?0t8?*EbT8~8+DNB-CUHawXos7l~I2Bd5FEH(z-VL^m36a%zg4keST1g zeoruLQQfo3We^8Oh=Vf_2Z6gp(Uz{z`5x#z89Eo0)LqYl&Rby1c?CM337zj0iFFX8 zfpWcFdv$DWJFKV3^FnFN8KE=+oUghlw66)(`zgTrQQ&;oNFK~ks&Z;$JJKt;kVXxR_wqN58i!y>fqV>BD=u@Z*M;M&IP-yFTQKL zHLFN?d!YZ32Y0(%u&erM&G#p&x@1HzNgCKXxuVN4``wbRM`pQQsJ@w3v14V+>*nm# z(#9fLZK=Mgu|_g7`|H%k(Wby99jeOe+MSu2f`auU4z$(pZpdj4uD#KAxlmn`|L}0= zw4BD^8{%rK=u$~#?fK|>m#k>d(qiYeG3_o{?W;7|*%yuikgQ+9xy9CPw5Ka#VHSE`psj+DArWHIOT_GyhKc*jT_Oin)Ma>#jVgl6QV{N4>MsTMcp<)BSOY`J~v zNL9zqgs%8z9X5xt>*O_=us#~kz=QDc9ljK+J!7Is)>1_5yYUJf%x<-14y_Hzt%TFt zqYaFwm>1Yh%qxFn6TD0&S5}rJFfY~i#N1`-v83x(=0)||PH?A}*H(AtRkGBNnBBdR zjBuVCQ9C?&SA1ek+l1}Zr19kNSD8b83b-CmMwohY_@w;go5=&9#Vz zJd}ptwtC*L5aP@C@eELx7;xN)%yX}m%+C)w6LElvYVvyI8Q^Bjh)zx_lr!`ED3|dR z^IHA_^;Fw6nf!2~%$>$mKjI;|lCkO-W7Q-$sQ;MRJptY-;k7L@ig8ptiLHG)=GTBn zp~j5H@SK$pzdPa0(`n*Ycs{-!Lta1=+k(g(R?RnNh#y7^ufTB{Ur$xcgqSyy?rt&f zc{l4I3>u@=JCx}YFbvw~k=9CvRlQ9tG_Embm>Q#V;WcPAo~Gl;#4P)YnY=tQF-HOm zPL8}?xj27~M`2r^yYS19Q^{^(6@5WflXoG{fGGGOBPprSiTbI5@zZiL%omhISAzl~ zN?W;J?BxD+#Iw1tuZy2t|CfD(uTK2%{!3&3xvDiL;pd!bZ``{9`_PTzt@GaR)8*lY zp5gCBLdd&_&)?k;I#mc9B}m$c3AhyJ+t{Gpk1zB&Jf z+nS5-oZU2KUd{Ys&B=fJ`_?!9{sDqd6L za4x$gU72>O^qo_m_s%Ytr#S9^OIdew!16(rbHVZMrb8ed$EaCGo5kPRA?G zG>99ApLe~~{#vuLaL)OdOE*7keH8D#w&X!x&ZM8dI1}p~{HD8KN^m#N#KOESRnJB} z(>$U&c}L97>|V{u1v?IA73RMkvoLv-W01M&diTY%zmWLp)j!^=mLHeauBsg#6qmAR zdyJ;-yY$L69kH$U)h>7KF2(<){6>3g+*!kq)jQ8zf35M`^qaqm7nY1maqizS25fdO z$Q#fxyk+!VJCktjxoz#OPTu#d31`|TuW&k=zcnCt<)9$DV`Wp}t#|49nDeoHO3y}j zY2NiFV|2ftN}2~BE!X`%vGMkCX|j*~;Gm|IiXAbrZE5M5`kSA(DywJQZEDoEw`8kl zPdwraBWtL3*_i!_Iwid{SNvM@k?MEu_9$!`(BahLba!y!&b1{)wd>w=cS~`9!_%># zY)jRf)z5D%K6CT$t*=)nZjad{bg{Zbjd&DuT-iS+JO>=n+N4`3Y_Tg$-g7+f&9vo( zzsVxMRA*FsdKPN?bqtMqwYjVyZi{xBb@Seh2VFb9Kl$*DTlUDa?r}N2&Uv?~?8Poop_q{8wJJiYP@p<5b{FK_MSDsf?=L@UP zr_~m2j6d=1uz|gtt+G3<>)#cbJoCKWToogt#NTDs9-CDCK&n}*@0e4z*5SR_5y#5w zw>S3ers(NxtuL=Xc(_pJ?fJ!nz10>~(XMLCM6IZB&KCzI$I2sqtEmpxRd;P%d|`IX zF&NGwMqH{IWNWzB@0*S&HT16{u8v$v0~3>|Ph26sR32+8g#o*bqQx$6n~Ov(NIArB@=`AD%MXpK^6QkXHZD+*oJ5XUJ#? zG+Tz&91w}!b6XYhOEyT}IJ>qzuTQzw#gcP2A!K1zu-3s2zWj8-FF%rmNcj9QeXIUL zb#58UEsT3 z=_~N)}(DA`ia%l)`tP(~^WX0}Oth*1Z+J`Lw}jmn%!hNY=(UM8fB!;;uC%WlP|r z^+@<=9SITKSSl4Ii@9?Q=xx``>BA&G4zPJr%xZ-;lUk;2UwQQk zzq^1>(atNyMnjKkvtduQd8cQ!`5?GHrc_d9EHv&{?fHt_mOD^y(fIl+@-Mo zGEAgaZiCNG@Bv77MItSPuY>Sassg@B8J5Fm>6g2H^!%^SdVhFy@{)e(9kRhs$FB(vfIx^Pj{R%p9Lh?~eW<0Mucdl-9? z>^0(o3lI|GC3q=b1PQG%;Agj+hL6RD5LUp{@~mLb;q(hhV9Hhm1{p7dTz@NI-TLI_x(@nx4ZL-pF-F+nbZ)Kdy1EX3)xe*%vs1G`G7HPi!Zb4^%*@y% zMM;8Vb3&N!8Qh#=ib4ZVF>FmFhhd1ZZ}p?LkGq}~#Gw<#{Wc0M+jBa;)rPHBjKgdI zH^%Agb%dgn&))@(vJ{g<$q)=B zV#p;NA;Nn3G4?_{MB@daM!CGWFrcB*iShx=?cynt*vQ{*Qd=CX=LI^)7vSinPy{P} zp`d7tp&X_d#f5LVosQ1-`(V#mDK~I+xsSm|FCr0#1Op{WM;HyFVX8- zIQ&rq(fy{l51$f-6?F!L&v=~C{29g^3w9!*Iz(zuEN_7G;N%3!RN}|U#j>K<}fiai+ck!!sUY@(ny$sXK92*&o&vJ zm;#Tn@+~|t#y3yTIRg8(Z7o?i2Di(dH4A)M7knImM1>vLvW~e^a;fk z*?6F6Elyy0%^4R;Kh7pm>e2R)o-GHbS#jLh2^#OSA`jkfX$!G*t!36DV!;E27m=^e85oX?d zSH(hAu|5UwE?^~5RgivFkk7!;0?4f4sfrX(Z6=;J1$|sUlVKxY$a`0IdYXg(=S!z|7Avk`V5i0ehRt|G#qj{&( j)sxW2;GNQ%V#>QJ|G0str@6NQ_?pEkn?^j18+-o%{4;ww literal 0 HcmV?d00001 diff --git a/end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/Compile_Options.txt b/end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/Compile_Options.txt new file mode 100644 index 0000000..0abdaaa --- /dev/null +++ b/end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/Compile_Options.txt @@ -0,0 +1 @@ +-wto "bbee60fc56e8409db2cdf5ab01427605" --debug "typical" --relax --mt "2" -L "xil_defaultlib" -L "unisims_ver" -L "unimacro_ver" -L "secureip" --snapshot "main_simu_behav" "xil_defaultlib.main_simu" "xil_defaultlib.glbl" -log "elaborate.log" diff --git a/end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/TempBreakPointFile.txt b/end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/TempBreakPointFile.txt new file mode 100644 index 0000000..8082a44 --- /dev/null +++ b/end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/TempBreakPointFile.txt @@ -0,0 +1 @@ +Breakpoint File Version 1.0 diff --git a/end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/webtalk/_xsim_webtallk.info b/end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/webtalk/_xsim_webtallk.info new file mode 100644 index 0000000..7c07380 --- /dev/null +++ b/end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/webtalk/_xsim_webtallk.info @@ -0,0 +1,5 @@ +1574447612 +1574448328 +3 +1 +bbee60fc56e8409db2cdf5ab01427605 diff --git a/end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/webtalk/usage_statistics_ext_xsim.html b/end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/webtalk/usage_statistics_ext_xsim.html new file mode 100644 index 0000000..69f7bb7 --- /dev/null +++ b/end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/webtalk/usage_statistics_ext_xsim.html @@ -0,0 +1,53 @@ +Device Usage Statistics Report +

XSIM Usage Report


+ + + + + + + + + + + + + + + + + +
software_version_and_target_device
betaFALSEbuild_version1846317
date_generatedSat Nov 23 00:15:28 2019os_platformWIN64
product_versionXSIM v2017.1 (64-bit)project_idbbee60fc56e8409db2cdf5ab01427605
project_iteration2random_ida20516f5-6568-4922-95cb-fd63966b3ff7
registration_id211458424_1777531420_210673176_760route_designFALSE
target_devicenot_applicabletarget_familynot_applicable
target_packagenot_applicabletarget_speednot_applicable
tool_flowxsim_vivado

+ + + + + + + + +
user_environment
cpu_nameIntel(R) Core(TM) i7-6700 CPU @ 3.40GHzcpu_speed3408 MHz
os_nameMicrosoft Windows 8 or later , 64-bitos_releasemajor release (build 9200)
system_ram17.000 GBtotal_processors1

+ + +
vivado_usage

+ + + + +
xsim
+ + + +
command_line_options
command=xsim
+
+ + + + + + + +
usage
iteration=2runtime=1 ussimulation_memory=6936_KBsimulation_time=0.03_sec
trace_waveform=true
+

+ + diff --git a/end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/webtalk/usage_statistics_ext_xsim.xml b/end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/webtalk/usage_statistics_ext_xsim.xml new file mode 100644 index 0000000..3e9168c --- /dev/null +++ b/end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/webtalk/usage_statistics_ext_xsim.xml @@ -0,0 +1,44 @@ + + +
+
+ + + + + + + + + + + + + + + +
+
+ + + + + + +
+
+
+
+
+ +
+
+ + + + + +
+
+
+
diff --git a/end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/xsim.dbg b/end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/xsim.dbg new file mode 100644 index 0000000000000000000000000000000000000000..da0009185892ad7e8da4fa1a095dd9a9d82266bc GIT binary patch literal 21356 zcmd^{3v8X`dB;DXw1(0)+{3LLCj^{uiH|RdL%BGy9XrHHjO`S2>*o{a5F30s_}ETD zp@c!Z&cmYFcSis#R*FX%m{ZDbhME(yFol?>oZ|Ay#X(;+-69*x zvD2cc_I*(_dV0lkjtu&*2A&~%niy37Cb_EOnjd@1qFp#b!T3hRFwH z-!DB&3VWTD*cV7EB}S2|7=1{3t>x9q!d_|5GKo+Zg!dLnd5?H5j*>}z9h28H^=*+_ z7bx`>Lmocr*C9<~TIHi1y&23h`D&zb2Gb-T{qSH0(=4B+M)Y_FvqHWPN}tVO&Xtd` zeogAei1v|xqvd^6mVW=XJrv=hqN-5JxGNAg0v7|oK?_Z>83~fJ8`fDlma^m7J-aDnVp+*xUjd@yr=HLYx z%y#)Nl-7!IbDFt!nRH0XykV^9i#m@X|8nVwlr&?-+GzF|;w+L*NnxnxLg_6Y!+0&0 zJ}QNw4wpzbh@mf?xVBRc?Wf-d#859Mj$t0d(1tBysFxGRFpuGA!&nBx7+oRVk-<RTKIf1|M}$*x9|3{%2gBpSm&b)YDn|(D`9m&%Ea>K7=tth)*2yc*Y{WKcC^J zT=qrYk$Kzu_**SsrmBD0Jbw1VtoZDMS$_6`EI<2*^Z%ijW}iXnKWAU}{LFpEYuvMc z>-l+)v7wKhJ?i<%V;$mmmUL7q%vLw{ELs2_V=+WYv~-?IGMJ^vC_*0I!| zdQyL9ulM}4i9TWuID2E@cXQm?4$sfJVvP~sS;|IS|G0gOJYjF^A2@$a!6EK_w||jW z?S1_0U0HsA&A}mleD*Bz(&DpUW%=2w()@0pO7putD$9SB7k_UpwTz;DX6bw6hksu_ zQAt(yi`GSzr!KQ0$1|=s%zr$EJKeYz21(LtHjKyqMTleOej7K)y33uSKNk~qwnCNFue)o?^GOp(;bHDiy zq;QNcT#p@M17^c`tP?nQkk#0M7INGJ;SQMpU<${YfE#4;ONPyc@wltN)vyR83(STb zXH`8>Tt_ZiIRSC(i*2KK6eoPa%9u5J?fLUcF;^qs}1MY~P1;ee6K+ba1vvmr+}z#TIG;S?@s+;Dp(Ude12uhzH)9Tje&*^pag+(GjnO5qk8 z$KU_Ru-PykdpYf>=}fR_f!UB-V%!1qA57t{Hg2G+5^vCK81EY6qIDIn#%##d8Mo`} z70&(ZI4ru<9J}Y?mKj%fRwYqy_6*B+=hn4)UL-wY{-Y@ze|y61U}Kf+G8@LbUOu?+ z+zPoHj0?}LkXvqCcy5JUy>a2W6>@pw!gDL+8jK6it&nRpF2_M4sWTgL+|}uq!{$Gd z!f|JZD{^1&T4|KSv_#kgS(CP~R`7;lw)#0%$(g^j-X zROOt$Q4H%X9N&=pm~r8J3Avk$+p|~+$sQJ}B;;;Z9_5Df-kOu#A9(K;DeVZyH{>{` z;KKP5a;?VIvoT0o%!VA>b`sD0$5Oa8#_eJQk?b}b#%q(0cy(+bl6tct$94>N#QaB7 zIJVg&Ua)U)4?*0%u~z9MUa)V39NQ;cxNn49mvP}d2)T8}h3g~aZZj^Ne<8QtxNv=h zT(@!IdJDM?#)a!BP?$2>S-{(~vpX5$99fJz3aaOF)rNKY*>=- zC_<8N#l#Eu=aBn^#oNWkD%ou|R0+v0vmrMuAMFj- zul;$Od`IH_5#z%38*=v>7p~usd%(DGU54DKapC+6xiRCy`5bcN#)a!Vj9b_a``nOxU*`QOjDE$hhI_m5`LohVecrUo!7+ zNU&(3vz5o${(IQCgXTY!!aZW#;POg1F zk9lyw{0CFGCyg7(DaW4dZ=5 zKH{A)f7Ap$`+0G8UOlBexP9i|pThl)ab3+yNP5hMc*o6uB87X}xVnknA-Z#(P#i;?#uGQ9W(zM zDcp0$?dA_s$sV&|yuI=fubw|hB`sz{?s@s(j+*~i3ilP`TA5&;xOmvpr7xJTYaZ@J z<9g=dzG~dSJlsph4bH>8Y~1iX+&<$<^Kh>i=getJus36!xZh;XRL1)(6F+^H#+~hP zmu7Gmc-&=5*GL%$7xxUMDVG=s`Ik$5jy%WZlt#$ALP~vIJgnpF&-{e@sPaGHaWIa% zR%wKIS4#bIiS0PsrV=g}aMa&%tCU8l%Q;fwI-#E(XV>+F`;dS%ZneEkybot^9Uk|Q z4DL3MTTq2#Txn0A$DLb+d%tn_dffR{IM?1`k7G`xwYThX7iMtWClLDOBB?(QsGBR7 za}$BPSn6}k3CD3hAaGgpo_!F3%bNFYy~8o@!}cmG>F3u-NZ^>KA?ND%9UuuD^E8e7 z0gwbPCr#siDjxz@D^25m2_%7ABu(S~9Y_Lql{Dn=F|V8hZpmAZcxHcFhFf3(;8@FP z@p2w_O$L|uxVj9k&EuA3aJ?SK9%7G5&22})Ym0u>Tz7P)3|3mj;mc7 z_nOCXwM*l^=W*Yh*soom=y9?%Z-5b*HYBMGW$J{zt1&HSU8Rms1*XoWBooPPll?b;NQ0xy3o* zICpM2&aWTmgyY<~RgL4EaNK`*?WlG?fMY!V+vC_L{c<@^99Ki<*mKBno;Yq{26vvv zEzRIKcU-(x9#?IDcAT3p*tGW2)SE0GT-Xlg2=U;$JdSexe&HO01KH$p++P5lk8=#6 zpYJh_^n}#9U8&0c;>sQIxRQK+xwOl14|v>z0Y|$WH|BAZ%J=7~yQ6_T>~Wmg{ygQp zLqMMJxEVeB@wn$9wDI#Ew_VRXqO?2dCt0{JdfW~@v&J09`H8?e;VwXowEm+$$b6jJ zH|FEq`hcTe{&;Y1yMA`-qZ*gxeqmQcf zALYKtKN83J^}9;>)EVUE3~q_Xz3Op{BabNMUo9mb`3EvM?g5Vb^9-)e<9_0Cw8d}7 zQjhzG437JPEBB2Ij=kG)|CzyYUvS*%BqY?0wdU84`-0=n_Ba@Sz1`q(7kV6XyW05D z&sV%d?i!EF`n#vaIMyv?Rx6i0w=U=7+_{y;DO56l=ySwze*bZgLKq5nURL9{M^kqmB?$GQEKIAOdSJ>WAJcazcx<+yu z=m&Q{fD6}e50C`T-NUMJw@+{Zo6-6!FQ70y3U+TrdI^KtI}G9TyeFY|GK=C$KI)q_XZ&vz(| zFrMG{IR5VR`(*$~0{3H&Hs0J2H#N-7X^fj1=jJrUO-*xh@+;$}=BPYb7^_eIjmpKD zqH0^*re~LowoXsgM?UhUctdmCV#&r8@hbX3)h-vOqLJ~b;^gFX zNvW-csX{c7{972G*jkK| z$K9LS)^{p@Q|FqvvwKZ<)YjI$ep4m6wykfCCEJ}{S8*w5ta=bQu>?*MxAm{?jQbs4 zd1_l#Z|v`w z6SS|RJMTr*W5e9X#<`D8-s9%Jj$Rt)2XJ|Q6qo0Ra(Nc7cT-z@$GZ0ZuBd-D#o&z< z$Kt})ts3bvLmp2|PbGs&elobz<>I7!P>)A*o}pcai!!-A@`FxP!YFHEa%WtaoGk2A zK@&p{XtLLD7=9?)5*4D=Os07C(A3CGVX7E)ceE!J!LDg**D{&b*pKZ@Y;iK0(PV3I ziz=Qh7PjW+JiX=HJ~^VvTim9V7~elVR-%%#Xwp7gnw0le5Ir=oPLo;DY0BT`$U^7NKyvOJzt%t|Rw6}A<%Xv@FCQ;%U!I&7Q(JDBiRO82WX#^$QXDSKEa!BY|D~j?iRsCqV%aP2RNmv% zIHmX8xpFEm>l8Yb*GfaD@|Gstsl2Aiaw@OcId@90CVR!!kr};=aNk0b2mQluLFlOI z*JROOm|mg_A=+d58Cmpo(_hJ=%XPs*{n-4yjPkwD!-r2O|3IccqQk(ByhC0!!*>qV^XQvQ34ozjP-pOiiEqHTq@R}VmOd%{ob(IQr=`zGza)KD`eo^J(!J8>rC*W0AbnB#Rq0F8m!)Dc_msKfcNF-I6}$J0j2gCgtbx-H>mBmr5^_UM{^t>c{Zk;kzZV+m9|RV zSguvNQ`#k6C*2_3DBUFGcZu7jz0y8uzm#v;cS`S)-YvaHdapE=7NlFGL(-yjn{-$@ zBE4VwfOJecAuUNCl$NE_(iy2gS3afZN2QNRnHRgIPfD2+Pf7PknG4K=aQt6Uo*VP8 zDgArW-6y9Y=7f^7a>i_G?)Uot1zAh7;{X5v literal 0 HcmV?d00001 diff --git a/end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/xsim.mem b/end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/xsim.mem new file mode 100644 index 0000000000000000000000000000000000000000..27c4bc60c0ad9895b02906b1fc5a78757c03820d GIT binary patch literal 5761 zcmeHJ2Uk1O%i=2^Q1=r8kkLLy5E?#7IlR2!l#M5E4M7gepZL z(nEKogAibVhy)p$5dw)2AS9u@du3*=H}5x0*2+5B`|h*%{=RRYbF*vLKR-jIJ9gn; z>#oXwKL6MHmw|s7_?Lmd8A#vW-Uh#a+k$`p_-j2Rzw={1u&n$~-2a9B!z|ppYnPbu zj%)8<_s{U*UAuyJFQ$h)bh4G|@IU#==D4+ya+cP;fj!>xxKx=EN5Pz9HrVpL6v~VC z3tEewAXGXn_eWu(lw`8pV+Mc8HY|GK9?Q|UM4fqjD+gZ?sGn~VXIrD(mh#6ZX_N9; zH3Ijx)s4^|_`=m1<*~xO@3$eI80x3pw~T~j5%wws`3Upix5EW+$Ey;8rb=D%r(WR6#hED* zdCF_kgXG8!AUXXtmeOwE4PLrKKEM zULmcaMYXpJT~SPj8BBEip1a)TJ)p1NiJGq-@>U#`HmQcivP$D^7ZK0kJzGACb43RT z1-5~bYkDc{R{5R=HNR!uIrkkfAh`O4Cq%BN7>-Bn{8Zp zX~UVm^qi`p)gCv!mBVZFG0B#;Dq*cmadVgH<*=^~9qY=RvJ?JwABiqkb-MyM=x?{1 zS%H~e%%q`<82pU*9~1{~Ruz2IHDA_177A&2fH(G)<;+}+DD_TdC(7Ry561&st?p}1 zM$NB%G_UfIdRrSWJ(+`Noz*k1x<&H8*|14JEYmP^_TLcJ=Q~cwseHuaUnny9XNg=2FV?oh_tJR z4tQm|oyvMreuPj`?7HMIARk!g8s~_#M%uDF+85YHJ+x?bX0&-}<+4XP6wNPVyIQ9r zORw0dldi1htghk4Z)D%eBxjiNuhXv8;?YQ7m1J?ug&dkMMI?~!gd zrBSPrWSo=e(^&v5u`wU;&UO``&_i5K;#P}XulE+&uLzKG_>29@lz3N-Gmx1#xH{41 zo<+e9SD}!)kMAZz`|4{|<~%G=-;d;*pL_iw;uTIsRg{Z6;MHnD;{sZVue*`0i2vx&^$EA2t34OPV9mcmywAhTv)^xh6S5pRO7KXu zH!@om_dM-D=nAo!St-=Pr{CxbX>E(Rm6b`2e|eb3NJzgxkZOW52i|Q2bd>}VR74VB zF30)f53v15Q|e?HdjxtrG$Nr+R_5w2+~3z~ZlUUzC#%CAY-K=bj`cG9l(!ofgC>+Y ztUwH+>JZa^JjkX%!xe{f)@siRx1Y0ifgR+s@l9j&*vs2ZgB=ZhVk^=Lji70mwW31Q z;NN^|;yRC%iu7frC2y1_ub&xZah#EsQ085lzaWcuc6joWeanWrCt4Zl#~A()i6z_X zd_6g%Bk1fl9iP;kx|wbGr0^JPv;@7y>F3bO2lSIWccZtAR@2m>MSvf&c!2XZl1xw{ z=*i`BetV)eAkQSyIzvAiH(ahVEjH#)JSZa`tnYc3nUoEI5)alx#_a@-l~%w?VkR*X zjsB)Zt(6NgF0dj8!r3X7=ORLGi#Jk(CztKdfdW23DyF8Q587OUGMkWI6%b;}ac9sz zsrp2!^3sQRrAoNe+*tqT+`7;!cEpyJcweXO9;!r*y$eAlY^&BUT%SxIYz#lu9j+af z!YT1MlTM7<(lpsTrxxZ~sKK8>c$(Gq6F`y_xt%H;oT2uOE5cy^SYrwU0Kap%v>0fb zCuEFygJim6t*QZso?!<3IWOmdInKb)klm_gxC~JkG$h!sZnMR#Y&6NY8 zlZ^w`9%O%>YW%EjHv?A=6(?;!p9~0Y{G`Ipek$|u$pU9J*k6)<7$!h*TX}jp9;M|J zzforKBFcv}RL}^h`Qa6wn@16kjBBp?TKxy0tLqiZ?sU-MBVU6Ah%8dVzi^gn0rMEY_%=wO0-5M>Lz|dkJTO)XWXC+ZoWx=4y7d$CS2Dnmilj^yXy&efMY-zv1>me5&({tE z_$dMQ%Y*yLmfRn^O9$n_=2`eAuw_Hnxr-oswI;4KWcvaaIJxW-lV#9pr||Eq`lGd` zXiu$XHGcHPYl=Z(Qff{o7dA_58UEoZIz&On>+d{%0a?ey$1;37!}K$7QcibW0v&RE zOr`+ehGh2dLbc&0{}Gwxuy=>wY+DXF%>7Ec<7$y92R+gxefQbtGH6ikwih8ByNzJ; z?jPuA)R@P;S`A4YqaW|ND+ms-z--0XdY&g*bG)mj-rp=Vz2PeGtZ68$H0-6?U z?mYs_ond{@OSaF?ZuiRksD|$$X5h11C5E6%lFj+XV+AnnL-(* zr6iHJM6R)nOJ0(F<`-CyZrWqLAF~}JOQ6#i5LO%~Zxp!MIs?tHha#k7zvAww5ypVn zH{G<~$8y0gLV$-DQ;x41G<#CxI(LRWEMLFM5MJsc_l|dBZ)c|9tK>b9OVtlPq0F3R z$VK%s%ysCc1GH1mwt0zyR|GlXuplFfnkEW^&Yto-ctePK4V!jB^1@ri`Y&b)tB-09 zzMNOe}fn9Iqe>vXRTr z*!NrBf|jJ_163QR?gvBfODvpllZB%V>2e{X@&doJpK}^eikS`X@2o>V*W#DOsYiN6 zH0dngB~F%DD?2lU;2PHgTVdY*j3*bR0Wcj1UdwD3CI5y9c;5Az@3d#a? zL~S`7tR5MF)h~~RTVEgyy(QD0k(;q(#QZmnT+}I&+Wb)ohSwT~ZBsk3X^vWE9-u~c zZJOn)6}6{7em*_9JGj~BVLkOqtztUlo@#hPKVaKA^#QOOjRJgx07h>fqx_=d z{in-oQZ5r4mm)zL#-@Q`KBC(90KbYIl{8uSxZWsW{0YF_EcT|jutaI?S}64#W!gGl zZ9DEJGQkE1T$ei&{yts2(@-NC%M+=7@=jr6BH`K=GFR0vAW`I&* zEsmU}XNK}XEgqmywGq#7j^7*JwQTZtx+n!Y1UsA$kb#KQ(4 zHId{6rhc;n_wo<8rRF=j_yM{A88B^{;PM=+cR{RIQ1byFHVvEze|xGKNRe^CCeRMt zBH#_Eyl0y-SjSN`$lV$&Fe@6=4?qx7gvWzTJ)LR?ale}y0aG5{!JCUsLx+LEezw$D zAZqOO54_s1f8Z4+%TxD&g)&~?ok8FkJEO?7qE0@(?*b&PnGzNQvauE5HDC?mR3H#$ zW++?=cA{Opbq6eIiq)%A10{glQ7eJ4`fCA4!EAPI&q12lilt*fpX0Adc(8+Pk{nQy zjcJpbj|WK=QGkQTdc2gB0d9)K(m|nu6yQ}rH1EY!vILMr1j6VX%bCvShZjra-7!-o12412bNI$jB03z{#dJb7R=V;Y;L24o{xQ1igrf61(}BQtJC<{(JvD9`}f zB;cvG5$lQ&)c~t^7sw4QA&r9_X<&OmMh&ozK7e;ksk9Aj_YEnxabU@ckL}QA3Zf({ z!asmnTmYRTA0;oWZFe+X+6rHY8VnW~%N$AAXgq{ai=W-Z>}DZSuPuk^d3Etd17r8; znE7llDb1zrM^}s}va;&8%_r_!bPq}Di+>kU!aPDRZFT*+{d%fENJPjdu~{%?;J>3X5g>yq!qR$P_P?chJUq`@C=Syu?Uh9X`u?Q(Xy>57D=aoPrnC=|}TgH#h4 z{vMPPo*VjVQMjX547F`H_q3t!fn? zcA+@n9ly0dU%E-_qo7;=cHv4S_*Oq_Lii3m-_Bc+0?pV#USr?OUfz)|-gU3NDVzp& zdjfmk@z4EkaDfQbGO8+|IRbpW6l5sD0;SixF znvBag{Q^7J99gn`sy=%uYxyDu`QrjNTM9{8*U!g5PoA=Z!b-7R;G)k|fwH5?*7UCx zW%urIZiy56TjLyOQgL~$`l_Sb8=bWMCAa~K;l}lK-DWwIqv*Gov|+IuoM2j|{fJ`& z(`x!mOd`AZ7k$S5-tw!E*V;cf46PX$|Jm%`eChpa`GhR*Y&k*cXmIqxn8$=F&()*( zp8H)ER*0{qsMmncaZgB@CaKBaja+TxA~p^kqD2f)UqCt;<;r}yd!;B@0)wX?xuc!-6XV_db+}D*xuj{xq7zVi&`V! zx`Fc^5n2tDl)5}3U{Yr*+}>3!|Un;U&Qn3a}r8VH>yiGi09c~JNqVLO^c#I zO1iOdu+E8@JTQ5g|Fd31(nk#JUH7Umyzb%Mlf!YR$G>v-6Q%Q7gv$~pW6V-B&bhje zMPB$Lg>kTa?pZ?I+Uc=V&)p+@jCGGn literal 0 HcmV?d00001 diff --git a/end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/xsim.reloc b/end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/xsim.reloc new file mode 100644 index 0000000000000000000000000000000000000000..87d1d1a06fb6d1b5b3d4e4d142fedc4b7b0bfadd GIT binary patch literal 3679 zcmW+&dsx!hx}Iv>mNlB)oRV~V%rQ3wnK@`G>@$sZCZ}xjq2Vi~e$ zslW8JJh2-YnR{t?)n$0?8i zi1+7PTLKpVpUPXJ3UWoy;4w4;a5F!?1aWeie2g^w@?s?j)0T%Zp^%Sii{a~ z_WVXOEcjTMI5>66laX7|9Fye;g3WI#f;TUHRh@gYrpl2l3?N=5RMRgxeivvs?afi|y&`sEJ5p@f3u256}TF#>t2ylS4 z=uUxSm@R()o$aBDFJ#H(HeKd~Fbc4uL>-Pez)GkrAlINZ>CfyRo43pGNtx6JSrCEj zzYy*1Dn}FVM1*z6J)UwI&O@?yZnVQt-(zP2uZ{)N?xc>E)fo%bvEk# z4pb>%eNJ&*^vJsz2doB*27CkDtH*Rd=3Y-gn^n7Xb}Uh~^yr- z7hmB{5K82q>NNl03dV58!ED0fECWICL2<`@>PEp5>57RrHz5qg+Go>;=<9%$kiJ^f zM!=NjthNBz3MT!DYSM*3qzZS)maA9`nSh-;O4_iQxJT!GHU=`8|99pza8!WRFS93_IXPf8(@+2-T zqn^g;{G3juY{o`9yFa}$)aN(=4tIa>hmL1@@(mg6cEPdTD^n_Sw=h);47dI zE4rENvU`7IUqGrRSW7OCNYfH+Jp-GI=GO+|saE{gW2EppV@W22JT|7qU-N3~Q3?}< zljf$yyz2z~?IRFQ6Zy6kUx{cF6<@Liw%NWixtZs8N%M3CmZ@(~3NM4f!?|V20bf>*08|ie z?v_O2jW|fA!4FIS-60)(k7)WaH%VV&dyBc4GuL5VV$=|PFe6!MkOKrq#wUobsBtPF z9#yIs*yec5p6>9psH!V#QwvcGL zqe&LzxB)7Hr*W4Y$au$XyC3KcXn)akRXh4|Zv>k21W6Tx zV_ygMmYhsHMen?7`^GsSjyO^zee_6)Vw~L0bu1r_(BHE_bm12bC0IFbC{$)mOplTe zx+jvOB(uu1GThrx=5rIT`>+sdVZKUJ51D7f!c8U7gbI%P`iByMqs1Nt6m+>^mA)ZN z>!Q>=ZL2IM4BIxVN$C=1ZkOFV@+FavkWvUHOG%E8Gd`zmm@POI%VbRtUCpVRJt)1L znvyY8{=9W}tSQwTGQH#^(qx`KHr6N6_Wd{X zdu=bG!e8^qcM@6%X(L(8OLAOgK2y+D;_j(p^T;TDEcZ|5beS8QUqGfTgj zB;sPreLtDuFW&s8L@LPZT8@DrI0q#qdS7LK9-aM~0;Z6u@)jnmZd{u--6lyMQ z*!`(ZnmF25qVMd1j@6*0ADVe#`kl~Y3+8ZT!d^P}bvc+)daI@d&Z;BO^sTl-j!o>& zgrXAOToz#XP$gIjmzif48(Yba!1&hA3-z4{DXTNkL(eWG!n2)Uh|bLO*FeYGm&?Kt zi#Z83DwG0-ry+d&+nI(dj`rgD0w^UXc~ml&_MrTafh89x5imq=1q?g;5dgh20k1lw zBYb}g6!=}3U>Y`Tmzm4T3WS}m->Y70E>8%%le9EnR$-H_*L5gK;`GS5;&XFJ4Ug-SBR_!>h{iCbv+uH2fAU9?M_cWCv8UvY-lL`Zg)F*%!c~pOF5@Va3nF|KVgo`Q zl8~kINE1+1SWyFWROai}YUELu|KyBY%H>&_qFu&*9T#+U&(dt9E{nbzx6r(eH@&%F zV4|cAm;am7I2t_Z?~-0*BSnWrAerk)&#+C+c=%*Poag6;rYw-<#a8Lfqorj96*^O% zKS>~%BR}Sp>rA?%t1~CYk>+OP8}fS-vg3R*xvp3>N4OV0(dYZE8aFt{u&+10tU_H9 zUCd!ZXNEqg1{GSh+1t2t`cMM-!OGh{L#X=wU<||l&*|R|lm2yeb{^6FAgyir$u^ZAvu+MNvUF%?uTb7qTJ)`Wm zQ#;U}a&ARUR0b$K<25moQIjE|CC#3`y8NII*2K7lN!lG4Z#aF~kXMEI+Y@@rNWFA+ zLlwrdD8#a39(Lc|!Mhh8P!tjqy4>hQ**%kaaQd5y4dMX(Q4I=7@o`4WzveV4qvt_pKJW;m%dxL$CH9g`Wr zj=>mX;#G{Q+avXj`nU1qILzR1^6a}aE4TX)^5})yK3Kt!inT23cp$jUj!Ec!r#^|f zN^-)VR+4w{wtXOsXVm-}FM{nq?*%C9pSAK>VBs-ajIeP>Wxgaxar1u= zUdINHz?6s7Y~CesdZxF^Ye-$-KRn?AAmj}ag+_hGe|YTQVn5`qcj|ln-@9M&AAMQ* z^eQbiOwho1g-Ad}6b83w6g+CVwbgh?Ato-=j>`jU+VSryw=UE+n%|sA`(YXIVnDRZk literal 0 HcmV?d00001 diff --git a/end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/xsim.rtti b/end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/xsim.rtti new file mode 100644 index 0000000000000000000000000000000000000000..0184dde438a283147ddb2f13f22c04131a44e781 GIT binary patch literal 251 zcma#Z%*o8FQ1A@S%vA`93~*I&^Yn35FfcG=U|{&8c_WquNZ$rxEg)uQU|5iopPre_ zzzXDn03#5CR2VQAGDI;%fP@&7ffy*ipg1`(C$T6#Gp_`!9H_M6|NsB>AT7E;EC5sj x*3S&2K>#EH!(ag*@eioO5=g@pGXWJdAt?m0VF08UnXLik1VQOiD7^?u0|5GIC>8(! literal 0 HcmV?d00001 diff --git a/end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/xsim.svtype b/end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/xsim.svtype new file mode 100644 index 0000000000000000000000000000000000000000..4cb35eec9e48ee5869067153532bac2a30d73d26 GIT binary patch literal 62 tcmXqGU|?VZVn!hT4+J2Zfsq49u!9(2E|39I!obJ^B$z=Aki0w)0|3)}1bYAg literal 0 HcmV?d00001 diff --git a/end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/xsim.type b/end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/xsim.type new file mode 100644 index 0000000000000000000000000000000000000000..14a09a21be380ea00ada81606e1595c3a4e4d8f5 GIT binary patch literal 24 TcmZQzU|4I~jh7;bU_j6{rV($^SXu;$Bx1nmqArRgAc!&EH5disU$9JJAy%Rm zDJ}gMrc0aBGKHw$yEE_RWAnYaAgOd<_Ix|fnaeYmJ!c!^_s1Vj)f)Bj2ern)xxrfR zsr2*6+^znU(%klxy7n#Q4_5Zda(nu1iDgmTL19(tHn`k@i^b|32T-ZP%F_D8X;xd=J(OS#j)lWW_fdLslGn5?S%B#*h`? zW(-+zyfYw&yjt?dJs2naA3v}UOO908b6{o-A^j93^%#mMH)IQM9Q_JvQ zylNkoyuae~SDgNe=bm!^_}xeU@2}<3_WmR8`;WNqKjNH!j4$FSXO1}MKdkT0SIyet z`I?=!FP8lIs@eC5(@$~wDNaAd>83dS6zASjJe73FuJmiX$%Wouar!Gxf5qvqIQ%;n<`}&-p z1|B4q+WU`qw7$N6w7#B4>+5;6zMjJg*{`4H(fWE0PbAdm`M&$8S+vYQ;@&^v-aq2r zKjJ7uR^#*jQGM^fjJxOm0qcX$zp>ZF-@RWePJhMeuQ>e`r@!L#SDgNeXVa7pI{%L8 z<^GD(Uvc^?PJhMeuQ>e`r+>)Z{|h|-G1hL&T@Q=D`+uQ0{S~Lb;`CRX{)*FIar!Hs zP4oG}Sm6IH@W=U!IQj98Sb>Dipi(m=fhRg7Ll!^Y&)sa0#Mt<;s)E?74PTMdhVOKrrexJRw+q|u7jDsG+kd(OSj%=1hpA!uzszyE7K znK^ek_iXpvbC+kC#TRxf35uea@Ck(!Wg8&ZI*QDfcJ+@#JlB&Oe@9 zQeD$*Z)|E<-Bey@uPCptZ}8ezdF)Ls_4b;2dv0N|y{@6sGd(3`bebgX%2SletB+DX zpL$@Wk{G81&5CkRIZa89k3UZN6_8%SXAC|zd{XhzB%^e^kef=)l%c=Paa=fcOC0M3 z{6sG2wv>{Ia6VkZXi93Fa_A_L^YpCO!Bt@kNcJyMgACX&Y4`G(s#F{XNtzlUJz;Z)l$2v_;0%Pqz)#ew!f3E`ptRh z+RXiX6=IC+LS)04e0^q&KJ(~qMXB15wfI7BD;mA=n4x4)+-exzv*Ns-%pSFU zclxdX$r$v4?7-bs-D-t;jvBXbLly-VYiA4CSRYa+RBiYqInJ9$Al};PMrr%D4zxSU zdUX*p{*8x_$=u)hxb=pe{n^w=vqx7-;76c;2fif3_Es;NO$1V|zb(k^@;ZB{;V6_1 zwr3WEehfl8yUcg}11fac?q-m(1pu0vTRCgKjDRmU%?|uh;OF`+!3Va$hUV2&)4n@v zS5>#8)KOBpY-zE&;hmenTJ=x69H^N+0UGDI{>30)0t&tt{;A%R2X#8{fz>^#-!vtI z(I;K83+N%$-)M3Ar|gW2QwGMW^Y&Of$T0&!Oa+e6pH<(XgR1r3S!!ob%bTiy&N6P} zPi#LFXYCjZM1LX_?kGcQuX+2sVw}tBQ7G&T33^cEmYpP|0!rR7d6_-iQLAJ=9_kG? zQ{Q)`jJXx_j%_C7K==QNkbUvNQ9t9lvM=BxFW(L8&xQ3t%+2*?*Tpi{lae3YBEB5oT6Gi&Gj`1YBfKxEGKPZ@YeQS!QK)8ZCayqmw{ z?F6TYx7@YE|E3*6&>i@63F;Dl)$OV_pxQ2|Tr{F;4ZpA3PuFd>{Dc zz6uq`zpnarsO`Jrg5N^9W8N!QKAqqGuI0SFxzoU$XimEXq=WzZ0h{3B9RzHJDDtOV zKs;d#U#in@K8G{5`Gnl_eX2elNuPNFzTp-f6l(ppc*^Z>Ne)f|H^2F_0Z`bmn;h$+ zi`^?7D;z6#Ss%(xE9*D&kbu454f$aDC=EK%} z3zLAh6%9hYNE}PLwePmC>|cqlQoGDI!rTL|T2IPW1iy#~;H9cQXIi%ED@j9Sai(Q$ zC)0#%d$4*_{kc@wMiU@dQ#8r2b{q$bYw;{pnU=v=d)jCgQUZ1*8;u>@m?(B#+e^}H z*hwn_gsfypz867h6iOX|AVA1A3)q2^xuIpqhA##54g0v^r|8(gDctZ+NUHu=9DiYG zcz_%3poWvV;f+H!tTr_4<%S!nVH!7FIb_3g4Gs5k!$N8}g&U>~+3+Ys!yayU3^hEJ z8xBxs$JFuFZF=Kudx*;20VQ9F88(vBcPvnN# z+z{R){31?xQddeZMw#l{NDjr*pBg=WHoODE@a;`$TNz%ThAB%4%w?*7|C|i4iHprBgW!q7U>Lgi>v8Xsv&1mrEYPe!+JPH9D2^FwR!sW8u zMShKP6|PAz8-QSzEYFbnbl8B(?NqLIZA#k=$b-qTO-OMzi4P$+5`re=h8@|MC8-@s z6@lsyvyE?OaLjFlDvW@!#By)gnT{5i{Tu;e8L}M>y0GSk-DEm8Xn1~Diiw@fLl?iCRv`u1$8Ygxn`Wh)@F0@5$6g=p}505lh6){c8&y}%VL z{trGS&SCLeNqo{SWZO$Mpx1_+Ui_`dM~UC_Ga){qO7T}hGQaI2d=D0%(MbGrVu(-V zS$s-J@oBro;!~NmV+x7`>skE$pBTg^FOiO)%HqSxg>}eZNE^a{Z8ihYpA&Jv74yV{ zV1fDLAqLmWQBsoTq8TEK*OD+nBZ&i8O$Guiqr8g5azH9jB|^4c$%3#gw-h ze0@Dlo*LcL^I4H_rw!B76M-4nz}g?gB6`T4J`Gy*k4CQt+8KElBZp0;8_l0k&B%KC zgP#oQ>Am+-Pd|q5VS4&5RDX${PN$ykVDU|Q@gs9so|OGay7_H%0J{0F4F0>_y!2m& z9vh~cX9F|P$*LzA8}-6{5C!Cbc0r5&$z-REjQq-n#6fm*#7>ilhV0|RM7>R7M(Z*^ z_gl2-N_n2a*JmdRDAB`VI%_eK;V=f60UxXW#y?5*a3iCgGN6SnXkz~Z?0}yeZsCTp zhQ@1DGqR!a!jFi%41(FF_P&H<^SQr)+aIOM2^af~(7=>1jslbf^8}!P0T}^kG#S&M zP7NBU=_{w>Sek!41GB_?$2 z?$kYPcAA3&KV(sS?~_?U1Zy}NvD>LGWZOx_wE2t7j@UBl*KR7-s%0=I=G_`>L)9+S zb7Mz9khm2jaNYwVXSAFixSqL9WNsvP*R>X5FH0iRco)ak{a2zT=)HmTV0wiHdN(k= zCl8YJvFJ^KO#L$iy||e2h0r!4-;GS~iXrK}O7gu9t3tjPW6Jki1HB(Hy>AUk@25oX z`-0w&W72aQ=-tHhUVd*VeOD5_a|OK%V$!o3=>3@K`G=%8iRirt7lgj=|1ws8Jc_mv z`~8IJojoMI=Rl+XRzYt`OnMCldN(t@0Xnyg*}q*xuTao?oKA!X`QJ$fdbcpWn}?)# zCusDC;F8dHUQGG^gtifVf6DaEAClf zz^%%;RXBX|@dlz;$}c|UU`_Fsk2jV_Uk_QjtQa9XTlMvZ_f#1iC;2q5h{?`RAVzD6 z(F@f5I0C#@PK}!(jM_CP@opg+It&gn>7N7P-O;mwRzo{E4fUWM=`vdALi?y^S-|Xz z+!sT}@OgoD9RPD%Hxc(;A3m+vi2Qa;9heV(35GO9Q4yw>&5%P*FJ;z_6fwP&X$X7T z4w9UKM5Pf;PvfR?A3}>ZstehwsTh;P^2iLcDXk4vQTwkI-zSd1lbf&Ichc#(c?W=R zXKv=sq$hHddaz0EZJ8m^X!CVDYNMCrM4LC*lpq3<(C5wI}9G$uI61Rg4e3CgG$TA`z3 zn&5)(kvzbYCivtIHo->#u}o0L`_BWYJz^7BI~*kSXqLLZpV&&NH|)3x0?NMo71bE~ z4#x>m9d~<|kXq}wWFfU>u+&o{9ryR!A@x}O!46I`1}rcTjRyrrSdacf&RZKuW-0dt z4N}soLhh0irIfD0eQC$U0h)*H#C%^*|5V`O;utx`3L~%Hh(&%vHO8(SY>^*q5*8`* ztEP{inQZxJN1S)GrrN;q+{Nv!CdF%wG62+WO@`{;B3*y*YK^=wWE(HJ>&B8ZtucDF z_B(Wl^+6oxK+Z-=c#-fD?am&goYw1mtPfI3WnL|@d2RWd(9{)iT4!2yRNvq27n-`P z57KZPe;ax=nMO-B?-N*6)F}z(fBhUzERJPsp^MVKK5f0?ovC)Aj0|c=qjWnew6Wnt zP2+YdaRlf)WSb6&26EA`Rq-AhkxC3DwW}npaljUWuQ6#C&!3{x3+eZN%#aB@=y3jB(qesg+ zc!UUn;t2c=94ljrdkr8ezUv|-ojpfK%4&|3)x;V))N-V(wszcue89?L{^TuUCB&rg zg{!fzqew)|=SfmTOHs}79!{l%xLj?|O0fPYH*FC~imSvs`Vz=BIcI&)nYJidHMQi@ z>ZLK_jp-)LnZS4Df@t<(-6&)`9l{{kl11NpQ&^=@7@AjHAf0k0F4y9Ml3a0Z*xd#Z zv7*uT$JD>6umD~E;4LN=G&J1@j%TVrW~!UG$k2{|6EsDnEA>X}~dKGa0Xohs$tCRuF-^rzBgm$CkQ6OK5aPI^9RAKd0(3!=YZ zh+5)FsL2i!W}t1P9({;uAK*Z~o-KP-*Y&KMA;8YM2GRYFG^&pS{4>FRy6Xv6BQiu% zPv`*hQS!SwA#X?8Ur_UL?_|+zOPrVNPS`|Y;Dq+yGlJrcvN>U(G1(kVDF^vU8Wd6w zBzL5}A__?~YsdAXiZV%IL~0Fd5dO!#A3t;Q4$R}5SQoe(p@JAQx}J#vXaC7N@p%GX zD638CFUak%R??9fe;8K6nwl>=~3i%feV+|MquYy>zzq^)Fe9RL1MYwM`1nf zOshqkS}JwyXsM zCY4@7UMD?@gt~f_SkE$l(&Vs8bka(g%Qcm1^(u+u+K-?4xc6l2sU|~NQk(JpwBP!8 zKQ<80&?Z7tcTkIJw19cQVQ>qS3uDll3;vOHWX9-u7RE?oV{{^^DO@J3V0)a(z`aZx z)N0q@W4@lX)DTF1tRF~JAlJfgL?Lf{Cy4rNQD-n5#`<8sDQSoP@byIQ5gfkC`lLAWzi`(L-7hk3Z#}YpS^f;v9mDEQLQ>x^ z9^?<(FA;UU(Z|g6^uzoXal%waTH(eBd16d~h)IiW7vFGrBC!VoPPjz>$-sJGBnt5% zX-~-J>xDWgtWE)vdUb9@{;R4}?;Wu^%ZR;R9h&?wZs@@<7;SiW)YRGjC#Z82t8*oi zLLGUu1UiV6JyZ-f#y}7~#V@69=rX&n1u_K+!<`^EfP}b`>})v~2ayzj$LhFIG#7@m zohP{=)`~uYlB5(JB=-Lv3WCW_Uo0jW1}3S@aT&|0H9+B3U2f>UsFhPdb zr}YuUUVz~CBz%Qz>sSPFM2l-F^ni-t`GO~{z=}LyKrNvm*$@Zyn?c~<6A_v?sHZp} zU1d6v)^Odp89uEC`KAu)ulG^9*=>Tr@odP{58#o>Z5!iJqXPa*Y&RTl5g38GPw4LiC*mdmz zGXR#KhqF7d;emn#FUP+PS+VV<&Q3s5b0BoK&iM1F<_L}dMmq_X@+O1-qVY@N50T|c zOyhsA)@c08AmibTe;#m#GX7>^eA@93>-2++zhf_qKZ%WhHIlK7|9h%ALgQCn#m4tA z__~aLf3)ZG0`Iv!q)6B?gX{^3R1I=6woygZ1xG z!>7B{US0_zY#PRVwTuu3T?y(z85$Ev?nv7WVC{g~LpaJ09}^bYNEn9;k&M)3E^Y&E zSIP;{RPFk@97SBXlp!)6(uHilg)&kzK4C>@i(IjSOc8y1kkJi{42(57CEN}gw3Zmz zLsm6cvZgaZoHV_O$l}HW+~e^de8@^XBWcj|v0WT&sPk~CN=5A5!mQ;UiOfNB!~sAG z%v%BZh}gj|S_s*mL;Hcnm@9fvqj-gjhAiOTSGB&a6R`$ne|;{+Ktm zS`HJs$WKW;;>hzxBE-Vu;xtB|+({&3jnOzDjc|;9T17+*WAsNjvGm(+!1pj?bPcM% z>KOelc!TwSV~oDHLs*1|-w4NO1D)LBIrH@d{)=NY8M1!WF&gS2eMdS*J6Xk)Jy!@# z|7&CPWJrk-_NB*YCfff8$LRMw&_6Opl>}rr>^yM9V|3M{7^8L`qo*OM8>6}?x{_*+ zaC!0S4WrwFBDj^TTHcsCJ=Z>@-hx>_2^(UCW5{c++dl3DIuK!}fLJ5k^I+LU(vPsepXA-nBhV)r~fL%^uyBtC+)VYgp*)<(Vn!D)L-Uez> zYM)k4M1LfL;NOvheugvb9}?9e}_GxaX> zd;*AGc1V_PheX;;ZMgMy7K}A;c6f%yJ3(x}N5g?pNPLE~V7*$@UxxYkHa1e&pEsJo zWW!F^5qI92htYY*ap#?lB-y@&X) znXOkf;0{0RHK||7!TR0E8}9GxLn)m=-kcs-fL8MS z;U?5uJLYEs>khFNlW2Hs0a*0T4q93?G66}u>)HvzI){LPPP!GxotkZVO|lBucxMMS zJd+y=VX$u?cDyRXa|Kj`ct=HAYwFg0$VWMF)x|=5LX~&@KZ&DZzwL2+4_=cnS~l*q z{w1oTM=X)&5laa&DD=ev6E+2SF#?r|m-z(JS^U-jaSrQEXQJ?m39u^kb_>0SKE(nx zu}CWinZQ)0c&d@&77;hI1;r_cqX=ga6s<(@bf);{Z3Y=BMnJ}DQ0%|ucwkLqtluz} z>FjiMJalDS{+ZW<{?AIQKF)`$jn3wnoM@IY<^7v|f* zc`rWC$gW!~_AOvH63O|s4<`y%$x*C&B&$?nP4n{84;pMGFN5^KRwHJ0A4ou}&6jbT zuvYLC)@p*rs=~;M)-vc8db`(%S*aiq$mA|bF;bHurcHFocp=NsoeY`8!1(9^7XGb9 zAv}$6eV1^a>~t6KbR)Y@c);?*b&2G|UE&9cz)Tk2WuzwK#1uSad2W;JnOOOX3EvgcX~A=8 zaO1#yMt}Zyu@r6;3Y$l)@S`9T_%>7QFjABQ@g_m>(h*avBZ}uT#hFYoY(m{7yGE)R zY(g2edXB_|U)&3R+01VzlDZC&o*on$JYEZjKP4T=3mEwZMviH3bf2AEVu)qQpGt|1 z!OLqw+;6)C-+C{%cD_keG}LS?O%?&g+R;PBeEJ~bl30QCW1uO48(6xJw^GBf>2$+! z8p%Df;dts`LH(DKpgw#X1^q3&(jaIRP_R#+;=zJ$Krz;)G~bZ|Q1B@L-BRi=r$Un) z)?}JdlS5dh$n(Prs(~imXe*e|(4dknfS`|h{?lDjN`_ciM2}%0-w*a5KSjJhtp|MN zDI(;BOqe;=|CR@~9eMT)niut33DxÖ#z6#B&_5fsBs0NtQL*HJstHlek(^DG+B z3)xO)ROxy=vdgvQPz{>2p^47D<h>#?pdG)1$NgQhA2c4 z^X_+vqRJF=j1=W$SSBc*4wDQU7Q+*LWRwGXBPjOc4hjPZ|QtmRxW0$Hyf$Sxnir3^QsYR-T^X!0;ZVH6vLyH7OK+6ucR9E-A$11Fkz*2 z2`MX3#S^1%uEw;;FPEW_#t)57j$q)q(LVqI#Hkj;g~lNL>3UTznGZm>VU14%kB*m# z5^v@1{uPufWaVm+)H$Y3x!b5lDyL&ASJm{c?87qUGoc>r7m1&X`F(zmfuHWan6qN@ zlgsM#BjL9fOae=o-zFnJ-N1W-Y6j~k2cGLl_$?!TMa=I6<`>>u%7NDkYVhataTGqR z(WryDhs;E=m?=K-OR`v)q8xae1;u+uOz}RD36wC!RwG3@@b-aXfA$y_^p_s+j5Y9n zji&m6cRvPdU^!Dgg{g*ziEb)bPBogPdA=EDDxk51!=VW*LCSFqzOJc2oJ;7Yf{=~g zk*y!fJrF1A;NsVdc~Yd&ggN{vfLZ4JN}72W;oJ8#Ug@|e2{*lVzHjZk3-*x{XtFsS z)z*%UC^F8v#G`*biie$bYiK?EBR2IzTRxpLR`JIH^UOqv+ip!H^$E1RV1Z&qQEd091j|Lm;5`;x<6q%egAHZH+K_+lBQ=D(4s10vHF%1?R8O2kH;w?;Z;4YFeJZySl0oj*RiI+BPB3j60 z^k(oJmf0v!(gN^9k@exbotmE$--G+W zHEO(k=RDHTu%a#kAM6|PZCt4OF^`8)v$3L{1{7;Y4HXYwsIEjYJi`W@n4Y0PM!jd; z8wbUH&Wg3%NsPi)ke+c+SYZVS4r^NID8lr`AQQNaDbl;Obrhv%Oc>817L|>Z;uxZM zJ5zk-4x$*#GpxjGuxH4rIU78O6<_Zew}46D4(3;GO} z-O2pkzujOl>51LKVux@#+`j}To#@~WHxOeD{gXgU-@)h8$>=Yb`1MBOvV*q?;=4e6 zSPO+a_&XpI_$5@mE78{!+Fk%fJ2AROG zm|~leqBMAhpg4*Q-Zue;8E)&xXB59gF?!h0(kzFB zS&o7v{j2G$@=->9=~ki{_5z(*Qi;sS%#xH#L=0v*27LUs@%T2F1-Ic#G&0M-E`(Xm z8a&qwWCs4g(w)Q7MXO?m`2F+?G{X*__sAkUnasa_M)HNp$ibt64B}lHgczCY(fd;Z zyO`qbOfhUW-OcUvt`*H}I-YW)(+Hl!GSd&9dg8a6`ORW}VGU%T-Xt_=x&Ze0Ap&1u z4P&@WDC)--bTrH>rnRJrmVm;`})U-5GeC#b5MO;vBZE zZjIJSHPZ8Swv~aY=SXZj4x|E4FuxaWG4Rv%rA_kVT~fq<ZjzlfaYAZ#DCa z?#on!DtKf4GW6x%aX|hfBcEjyPS=->R5P;iS(8l~8pbE_@!M+gJxpINM)jBI%b6s8 zFN=T0%?8`*`Vve0Vfr%b*drJx3qdOI4D(Ai^3(NYzT_9XFUyXE-}65Kzx~YbW+Zi< zD97bSP(xqdR*Js-ES1v*OMw_`kN-F*=vP&furm#Omx+JdNL;Q-vS3{huLJR6BMHKv zST>(V;$F!V-}y0#8$PI?kSO|q2QR%suG8Y3hS#OjT?acDHQGzd1oL##>||($_l*49mJH~KbUQG&)0gg| z_2J)9YzU=BK+`HqPUVNRvIbFVbd)rz6^@}yK&4Ka5M6mj2xa14w=F`r8=sS zop;!)9&D2?^E6P$`O9<$U)T9djH52!jcg}7nS%mB4`9^Redk#`FlgP0=}#PWor;re zzby^l`lGIv>2N|qNH!;-Dmq3JZN5Q=60wKdd#DMNvwdH}x8>n`2zNRi zRbRrLIC`&U`)>T9!M?iAe}rmeG>pi8f7)i~U>pj#NEp2TOc86lSwr)r0%|-=tnHT6 z+Xz46S~AvVz!^sDTK~EZ-crrlY)4Y(Ejn!uQq5pjma%sEk?`vzel^VRJmwd6Wf^PR zKn<}r6E+xD38*dx<1C_h2~#}u1A{#z#Vvy3X*lj38O1k1CU7ZJyvs;YM(gs93<1ZyX@XWqBB&GA6fUtgG`{2DenC~Q4IH(?qt$TytE!0G{o#< z55r6!y{`k4z-7#D8S@LfymTiO)Zp?L<2bwjAv2Jh8ToXhaJuMSMm3snBXlP_9hP^c zoXp_A=uYducUX6NVCG3-Z^L=aiZEI>&Pz*C?frI)^U^tiZWd{bQAugR^rP8oFXNPUpkbIZ zQ}5~ci6_$RF`vO!lFR{Nt1}?PKziVNjCpfROhquf4Gf31Dz^M$xzYhLfi$L=&lH7K z#RC-l#78g3hLoQ_%};#L(--{2M<0r#-Y**C_h^l8063F4U?rKM`ss1m4LgF#k!WnZ z9=Pz@pmA*e^jy8XzV{Fs82ERO8Jd5Aj=y{{ zLMza9{9PmBPft?ug?!u}6wRM*RMR|mkp9!i6@>mOXy5{n9q7zI0mcv6daoIY{%yqH z!VMlm1B3p3!|*TA@!ux+@6-5?Vsfq#@jn>he`YlQjYkcm{}~be>7BmNzY*v<`}bZw z68+22R=zItAv7@PpJ5%Ee}RtwO@coi8!>;{+2eOpN5r3gdsy;6Gn)T_Vfa7&t%&~g zHWldKW8~l4ITHP|(N@zR4Gj9z?q(?eE70+875uA#uGfD={OPH1>3?TN^G_G^l^y1c zx*rDP|MZCdLBT&2==%Qe7>WLNL@dq!(ZHa8?=buebo`ft2JFAh$lo<0{@ui1ILw*R z{96&&hqC|EQzQEC6a48682a;_bI-Qxlm}h>#g2c<=rI3>(8i#@9n-~7{0nsavp@s- zTY#?dFCHoX#t8p2qxtU}hX2#)5&gFa{#$kYpS@~?`qR@x(*6&jjY0oLT)G=le;xk` zn0TQ7a-fIxAIyKK_jRH%qQy*N?icUt+zfw^@9T6~J3fuaG5kr{^v+Ja(2idab&wne z?~U4uhWBouhVq@AQj>pC8D>)YAr?DOu_zn-PRDnqP(%8O2k~PRCFjserwHGMl@9+B zh00gU=b~;zzeM3Jzzao5xbZBUcs~^_Q(>h;09Y!Xh!cBAmxY)S``497Zn$#)zkrX| zR}!^7Z6vYy(F$Jlo(!CjEkwo8)`cD83lNdswqXbDbUUg^^X1zK(Gj3;KC^$dO|(#H z2BlxIkg}!2)FTm!iBy9K-a|=(O(S}P1*?*5NU(wt3ARELEPVgK=L)!tVJ>^GBrXPl z>53QDOknIu^fes?LK^5aJ{rG*i(V|P2ssoVJ>v_ult%)&nTUBL}+Mspc|0UO3dx@rgy}8^x^mxBGjRX+uEqTvCHqd##0ZG`i4CElgVb+_p zahE?qvnZOsk~lQ~*Vx>GzuY4QHU4M@{(avW34gk1CwqD?8W{B7G7SHVb^PT6O6d1v=yyZ0M24tLPW!nT`W5E<+;yjI=s5g^`W;7RLL z;Qw*GFn+e$bzVARhzcd(_AlWinrFM`P#-vrQE$_smH~B(KwYb${*qAB8Fg(0^;+qk z=>mI$hP|G!r!savl790QsDaCz!EeD$pKM-@JZt9Lun%qfe*pbtg9%KYmCVjyJL^YO z*5HHni|oQG8M+a54iV4LkEks2LcJlh(b9WGnDtMQWc!9Tl2n{*-$qI0yXjKAb&P{P z!A6S)g|jOq2ajKDPdyE4{EgH&o%LSAc!H(Qpcl`Tw!eVbev4609ZV7~s`TTMh!Qx1 zQ4(TPJAgAYsb~7x1Q97ZrZs4iGvha@{Ftk?@t(ao!=o5QNd=~OrT9!Tu< zBF#85eq2MO_3?AK_;un*B!`G!@_lVGesRA~2CZ=L>>%?Ev0kN@NlE^rqWKROzkbFR z75wFKm_B~p-a115^fDMtf3z{^@4{YA>)7c1`iVOJ3WYqpND=5-{G1$K`dGQ=3j^`^=-Ou!-up4hI!Mmn4zbMK>h%VH1fOGO7*fLzn^97 zUpz={ho@Emk-`O{O!rMNHSrFg$J~UaYApSNj!A+$UOmR~nVxLdSTE67tKtV!!@tiK z#eWX-e``&Ie{VE@dMqa<{~aep_*0BZ2cpLR8Bmm-#V0PS8UZP=l5cb)Oy@avhWC$SS zu?QfvX5YT~>NpWQdw?*oB5)34Pu9^kN-|BOoldmpGxoo{5xI?$gl0&&KLrYRA3S$Z z{|&MqPC%gGUzsd60I=V3Xf}-f94tqjP7e9Dx_!bT=W22^0R>N0ll{(RY+;cMMoXb- zA#*=ZCHJCsU^*TQCe-mm5l~p3hMm>D<_(u;e%O$u}pARGg(ZG&(t`i_5Rrn zF=G1X&yN#!#`x5>I<4Zcj(y`dAQ~PbVgBOxDG_~oNs4reboTAk_5AykSFs@TX8~ZL z0A2a_jO}1R*IF2BhCE-T!{so> zGy?{mqJl<`9%F_9L!OcL38{J^RhU$U0g(8;SH?goENtF1|Io_;@aELvhHq zDqOjgeui50J*oPh57K7a5m1!PI6ng;Vv&SK*pCtj*=C~^GI5+KWI}ONsLEF2A-zXFOtqq0#l+A>21tIuTZb6!Hf|pQ1S4o;(^}R?x z_Q5`x9^A!ku;*8OyMjx(5&Nt8LbMF#p+LT^#=L|I&LMQ)0f?4y5#C8<_98HWU?^3T zgx^0!wht~M6+3&x58ZuCjnaiS-RL0njo;kKv7cg$cT%XA=y6xNEI2c-+|EhJ?#T=EgzwWzE5(1`9&A^S0YL0 zL76WP#QS!2700RV=R6M$dRyKf*r}uMH(!1s{kD`hQC$DnYpy~b4xtQmP=7$w#r5DE z>Z4qDcV>^KnaDdzN|&*W*%-f8(qh}p+g_jc<8L7~Z&&ze$$PB&@w2LL(iam^-o88g zqKn-t9V_trwsi7h5839`!NL8Nl>B!sHcyX9xEJ#rst7B?wz$WkIwm3lt6+tuw0|1!J-YqVIB#|s8AG1>f6`Q1A$QF=g}}UjdS6%l2{caP zF;3cdSy0sSuD(rh9XJjIwosA~L^bf3K zv1G;finJ_zW0Mx@rC_>z(E7ob;sdSz6=TKuD(ly3o$5`?woYA-U$V7M#V<#+&r7sk z^9;E7$D(6vVRp4*e773c8|s6CSyQR4+^_gAvwV4z)Ycelct?9W}3f(XU~Ka%zV z`%fr;O(cH-DD`{M!4m&u%4_ig`2E1|FNxHL>ltgjW8^n26NgLDVF>U)Vy zg5^PQ4zfYI3Bt+w}Aoc>hj zyl0!ntA3Xy*MFg9aokJDTd(>ZFdgkX5IB1#IOg@YAh5g`Oo8w52;Xh(c#BAN)z&HM zj<*x?eeXIGrdyDg1%)Ip ztdg5<34WU@abA8JDt#{nXCNyK9`5f_$5Jvm%>f)H%lwnpffVK^o9cT90ytJURyrrkLh z-A%4!N0^#O0wcap#dLdU)OWALck*{n&Gk>r>wMYTnFkKJ{$f*J=YDHv7H7`4L_>dq^}%9`Gwuy%TwnXAsZKwhgjfJV)~gfn-TtZF+OeJ!+15@s68TemoW8$1 zeeXGaJ!(Rn*!`=%yxw5m7c_G-%ci^h=CcrkGhfd92tt1E0T|uspY#1H7T_t!vL4BS z8dN)uhB)Z< z=YX}?*N+)0sQMT8=K4(&9HBoC{35cxAsftO5vF928+3iA7n(b^nW$GV3nN7Pa}L1< z32~&zdOB*K_s^!6`^j+apITaKtPhTb%K5(i`5T@f%XRF}x2pTqsXOz#OsNhI?XU`) z;oE54{~@?pAJVl!qpD70BbrjQMoHA@QBu4sz`_~hRgh@M>k(Mb5M9H6nPR+3(G6ZJ<1;tJj2Twy<205A^{c-bupeQ|SE9Q3r zG+!~_0$}YJ3lm$fc^PcxL)gtYIsN-~9r$4cGv{d*iGf=TfIo?;L{! zSB83dOOPJk56r)wX+MUBYjfsb$C)j};)h7KTnU zVVc>>{2S2DTQmPgYMzbeWR~DRk~EP}E<|caf-6a+{%Z6i```RY)PC>$O$1H}&(pj3 z^h?O{a}W#e{zR@vdyMM`P2lyCBLuc~o)79e0%fQJPx6ay;diva76>fvxdP!?Ac#`7 z>r_$t2b4zUr||4x50;ODYQD$(=G3JWLR+S&o$q?>=;H&EDfE0)D&y2TbQ!HB#%tQy z;F{madu64jfS=Fh5V`S+-pY(k^|HCj7n0)s2!8* zTW#{Y#`uaqOu$Y6k-L4bMV%V-<$UfdM&{pk?;N_9KAkp-5b!az^CN4=8W_j-L3lmU zmEwc=`MzMtcET!5)XT_rc1Sbu7m|4q1Nu$a408dk=@#XwX?#m ziwV+t%?8Sm2V2|mt*{q+XRe*k-s_#pp4;Nky!J`59((On;kDjVrN{ER9KCG62Lz4g?2KH>VkEL{J^z!UNFqXi~J{Ey)yVu{1!!P=1p1RfLCPN$&B zj`lqD1eEqVd6;IRN{$IZHxUIo!R`in|GNhN)A^^5YX5{waqkx?cX zn#dybtD(ER=lHPBOSzf-zY$BCDJ-e^5-Px<0GCf%!5}9!WV;yE9EfN*?PB{4T*v!= zaGwt}a33oXBInUK8R$wm6ScU{kvBlL(L@pR+zI~pc?Vij2AsKm#WC-IcM3v@>R$kr zds^N>qj+u%q zDxm|hS82+iea%E{j#?)A%^QINR~s8#gbo}S&G9k#p_nS+Q zgF{iLq+}zlc0P`qC;S(r2Csudpt~?cTHb?HIaImVJOP0I_L`58sgUh{Y)}Sn7XIuv z$DqW+#&gw%d5bUfCSltp_zgTAb>1r4TOV?a$4V`3g7u;NM5r{iy+2Ov z8sl?zIrpXK`m@L6&+B#icC57|sMz5>(Ugo;cDi%kzLvL$vTi>i{Slk*;F1Jbp#6|# z?L_$h9Ven6Lsc8jhBOm7bRyosk1`Q&5jsW2dJ;nMi@|h^N?7DYwW1ihy<~l80c2Yd z57`zZqI^et5VD!t)UNfr(gzTnccrWIKJz}HEzf8*9efH?I2`FsgmxW+s_=ey($Nq| zh%-h^ue>1x|EH<>o$v^-dRv|P8nU!P7soVzL^&BSyq=cvdeUypt7Li755}Qj%Kk*e zmJ4G2YDLaD)`xN@B;FMHFD6Z!f+O;T=RGcA-h-=rECmFv=e5LknS)l0+C~ zAsOYFuu*b%rhl~HG&=$b!i`c55U8*HYj7e!Wo zcG}0iJAx<4HkmyGJ9PFA$5;RSCB)&~stxBZzR>ry_ZZa|6iwVK^}j#u`y_Y(3rnz?<0l(UpJmUou9G`$Er@`#Tex*N7b5-Ai2Rd|WL?oN}g3XgW^a(9gN{Bt@kQ z;)Mz@OcUMNcf>sKUctm`2sdxC}8#v1$5miVE1ML5B^-h#-9qyNP@E48WxILTe+a%O32561`C{Nq9{5SCbEeq7vtXf@h$+XP5(<|{RaQAs@ z+(n+%HO*d6Q%-GpbF-&8O5HY@r_BWYZ4f}A)iM3iX$Ji{1WNvyN7L$-I#0dVUfDnr+C5E84Ndm++``3{FWU(0odB`jpjY*r%+XYPY91dAw`N zYv&^a$6E1xDD>0kLZLB$C*X4iKIh=$#Ah+=vUb|qxwGv}E%n}-I*+}oyr#CL$#a(Q zNJnKQBx<%#X|z|DH{0tQ>@{`et3A`2Jr&-XhI++rUs}`TZ7Hu^(&A}a$BiL-75ZRG zrG3>pucz5w?zNYPsj%?)3b%7LHF!ZwYq_?@TWzmssPxz~TBo!Uo~CX|cyxgP5*W{YsSXu5Z*K&%|w<9}}LF!;s zzAb9LbiHcCkIrZBH`XhPF+YC1@OQA{zjLDUB~kg>sC-YNv3>ezW8NN>PmRh?h{`K= zWBb&o{Di1{MpV8h%3j@3@^#;vEj1OOFKT>eN8vX{<+Bx|e9NQiOQQ0wsQjXwoU`od ztyy#3b7xO$Y-p}&oz{Fw?IqKiJZmz}urFw-!9ZQL&W>TSXxX%77$$JKOW?0l?X#!P zn?6f1v|n3OKWk>Vedh4(=T4tFJ>x&y{;SIWP5ZCb|KHf}8~c5g`01Kfd6D$>1xui!HQ={`#TLQzhj zG~iqK%trbk;D`8RA+7upcE#r$q*DPe!zUZ*Ho!OU!JQ3+5BT@r!1j~|ym2e`Wk_!Z z+;lH+k?sam?*l~I1^5SiZbG^T@Z9?$I?~yIuj6w+(tUv2A5fIv6As{O`0S=~zz^`* zhqUq_Zoa|iDWqM1|G=jg>4Si?9)fO2X9He=PY~%gz@xUI9_ds-6`#+Mb^+drkL3gO z1>nU2_zcqYTdb}4q#@k~=*K6W${$vg%ken_>2Lo2Hx`Hk+c;C4V#0w2!&QJ*i(-vC zTCrq|DoboMyG%Xty-5%+#3RitmXV zZ8neIpO}!45nm>FOPz^_zCFouo1}A0l5)((L?yM=tRz>Pl*Bh<@S*mrWcip;%9z{q zRs)@}N#?P?OGy}=kyK`B9Mxm)P3SZ5Cpl;89HyX~SJK^MC z)`@Y-=O{}X7b#Ow_6}~qoUJQ+h4AR6%ou+k+C=%7V+2f-FiXM$2`eS^O1M$NpGf#C z3HM0&yo4V}IQm#gU&6B_ERe8T!u1mVM8ex7d{DyO681^>frOfB@e>4}<0VX&FiXN* z35z6LC81ZsjS^lb;bsYcDdGJR_DHx-!u=AyBH>>o{D*`eNvIqr=NcmxI)542|FabNy6O{1|^&@ zQP6Qo*dgIo3Ez=${0RbYwuCDr^h$WWgxe(ivxEmF9D{K{e;E>LcKF7Rg>mlc&6remrn&JlZ?Uo4t8-F-TFSP=0YkWlOC`Ew8Vv^*9t|8I=)nd4U5v zL@Fuv;(AX@V}5;AgG2Fi$vRw|tSiBl5l8dFnpzK~l)I>=q^9E1oCaJqQIt>P^Xs8o zc`dFgM62UWaC*wu3@TP0N#IK+E?j?VXsV;@NleVsq?k=b-df1Du%(_avXqpss`V&| zRGL%X=*7jEoCX-K)vKgXnX{qc(w0UI876I7hkX#$$V)S&^*C67U2ZoOd%UIf)og>x zyw(a&BV9*T2rCy&lc@%44RI+GeP{w7j;(qwF^gNklnl zl1$N-uIpgerOHMBUS$b#t36)2rdQsy zx>+egz6Lh-)UQ#BDc!KjT?P9n%0NRdu)!r6}jcF=-g7PI)lSjq6XBDi!f= zDe3G4cS}8&nM~!Y8t{ns1QVQ`E{rNuOoCRHsj9K5rruknoNKCT@_^>~rmD3V4oF>Y zq6>h8eU%B%8c%+M0SI@K-KdQ`@lGjZ1;x4U=ak5FVGoa$MewpjF{@<2q^!1ZaUR z8e7mKIA1a1HX>xe<08m}8G9;S^zb5|jPDp!ph*InNL=U7dkeD!L`wn^6(HucH??MA%AkbyC9 z&7O4c*5R?U%b*}kuPE0ITOa9{oe{hi_}c1vPo*1Io|U~Z%0Po47a|}W$_w9C zlui@-B)bm9JGW;IW<`oGC(_bADb?L7sEgOul6YEXRZ2fBRF{yr!bbDH-9<{l#R{S$wUhHE@GbBMV|-Kcf5`&4oTK$W7A_d)vj4A>aQgHa`v2ypiW#dbDyH$xQZxAG z;ffj9>{ZpQo>4O^YwnDSb*r(tonEZuoV5aLuGMSj&dgXLZ|6dCn*NeH*79@c#;(j2 zt8iae<%%$2tpRR>oW?|E&0HaPDT@k9?T%vm%;_`dC~<9*@XVA+84tNFaa5C&nQ3Ry z?9=MJE%l!H6%9?EnaGqkRaDQf$IXfL<@RZ-wf{m?X`d>V0TNFWob1!8>KmqE)mL%p zG;GCa?~JpHF?wSl9n&6yz|83tUzZWCpiYZRtf*%y`8-Ck2eW1jRSG_PxRg6sUke(VEm!b2@d($iMI#_Qd?Q!g!r#~E5O7XX z(}eqT6(RH>kX(p2{b3c_NN%R@GjL zj#d)p5HE;_JCa-I_HOLj8ZNEzD5mwZsG^|}M|!Ij^JF$3aC&g2e2j=IA_vxQ#Kk??njoanABUsqeRx*CqKT6A1xvv*ZZd9#vO-NMZ2wBvG|2Pug)RKV_V zrb)U(94L4%=fjJ{OHM;+Vuap7rWa}cKGV~%KOpS*c(WKFJeqJ5b~R2UFnmUxPKukV zz2&R0Uv92eM$Nb#=w{0=@SRLQ`b6(S7{f0_Fk2o$Iz=FRE>lL$eHwYQ<-i$Wv9*>dti2ed0>IzHGKAOVF2Pi87P5wgHS}D>LVmL@N#y8ft5? zlywaUP?57(@oWk9*N`nqNtgh+ECu&FYR8SW)Jn8wvN;B**=Zb|#d-WDan z0_+GKpf)SBlXlWW|KnQ3?f%%^;2oF2Y ziiT!yvyvW{^z^#XBnVDHlKv!ws`ZpBNxhUdjpKs|K7vYmhDt251oIZ+R?>bd8#4~) zY+gPIRFa}GN5*(o@NzV~V+PKP^H8nUYO?sZ{)5p~{tZ9ZB4v?znQ0{W%UZh<1 zI6Q=b7S6A06TLh^^2N#GY{o8=Ckqcy- z0V?SphsTs;n|Go`#lY9#w>cgWRN#sebpk zRXA%yBXSBQ=@Tm3H?E3(;#0~zHLeQnm88!o(>tyTov9>!PMJRgZ58NzL74;Nsw%3H z`8Q==8CTVO8P2+sLX_(pFHf$M;^Y1ReS?&z^J|$uIKCO<9c6XI+bN|kPJ`K2D5!|J=i$_OV&g!%@Yj}Ar} z%SiS(CE;fn-xe|$4KN<`CMDs!NOK|i7#GGX2|v^d$yvD&&FRNY%oZ1jjIN>8vC!QX z_Z*i~j1c7%WMt(raa4Q^qtn_Jj$2uSYjGmHC)LGG$8A>D+L1F}9VJT$FS?qE<3Ub{GiW~(% znl%$MN?7Pv7;|SUmf$@y5%{Q zd&Ru${2rW&gjE-cE+b1A1sO7oVNxU({5Wu58HDJ(!hC@3jb zz7?rnnwL{jSd@QZo?Ez{az>uU8UdGjv6x~DjG)XK24!JU;bKOb9TN$L#WS_V7?#D#oCt=9>IQd1 zC=B0rdyhyR6sRdVe-txShQVt(yMUcd( zn7!ZvL{W+d`O9I=v-S1MXU>^BR5zb945G28=Nm9HXJ&K=Fy;CUV>TM zEqE2=DmjsQjOoRNu!kIk6l|2-NcBQTaf!5*k{2n~g+=$WqWqFPWnrX}L&oAv_tJca z8y>kRQd3Y^0_(aQ`9%&)6p9+D(N+Mo#t?IPzOGWrxP+sDa^Bz?HD9bL&W}_{g~)u0 zGg2&E)mbPf$YN!2q>h|(d5*ehfl^>Bghm-kVWf=o5FSU7OL0YNgo{aU#PmSbOQNe6 zI-KByr4$F|1&i~Qq6msytH3{V^LYY9*H9!Yj-UuTuxBVGkz%bYmq=rjMyedS=ar%( zh2JPkBNc^M^W`t(p^6EuxIkGJsdJ+5mF0?MH`c4)#ww9lq08hFlh=|}xDN&QF=02+ z!s|$}%%oG(sAcBoac^qNOh*w`Vl)}aC8o@~$nbJasV~Y}fFlK3vvJ{a8YyDo$oU1u z%N#DbPUHfX8TY`G%pYhno21MvSVZQ;coJ%KD~N}8WZ2y)Nw#=ueyqC^_h-3rzY*?k z@p#;LPT%7e=yg>d+~}nw&5AEPhF0XK;`Zdfn!BGW~cSREo$3@=4+puE+J*CjaQ`aIQ2bKb)IA~+6J zjuomb=lPLIk7Eo@9&OEA3jWlB^W&`x1kGZs?y%mC)Er}7yjTi)tR_pi*#wsFP?Vp$ zC=c7N2(IcVUY3VNT(YigL16*zfpIEhbY;c)PONEI_7q+5`2|jlj|jQq^DiJB-HxTp z=VZ>rP>EF0Ld%J5Q>0{39tHlfx{~wfVy6^Az^sHhNI6>qfxeFbOGcz!L zB83ZbA!;VJL{UXEu^oyknuTppRMBi~cXUN1OzZgQqM6v}M6fQT1z#q1Iguhd8=a(^ z29%_UNpF#p@*x8SStaQNT?q%*CUR50F6Lf^y96uCaeHghub^k|Gc4!L6Fot8uhxWpyy8ng3tAyes+d>#VHEfm!g-X)Y&Gu?;k z#nKGvQCd2D@d{Uu66mh6T2HI}|7-92gX6lc^WGAq4T%&5NmNAJth164iE&5>Bo`zt z(+(hj5~)BmOhT5TGI?0+E`T)`i`DJ|{1Li=6WCE~wq_;PWn_*9jv1G>IWCjXv65kH zG~qZ($~vsWq#T!ZP?vF)5$z&2N0l4a?sx9FKi=K5yI5{C{=?HZw4OQrsfmeW$jiVW`q5yc49{f|l0Q;g~CxNRe!EGyVxuwPId9wO{5^_6`;}yH0&^@r~N9>NE?M-*Y?u@mx zzOD6VTJNHz?bsbLd|OUP+dZ&xd$4@@dvOYz-yiI}3k%ghh2`>xmcM&Boh-W@m+8x! z5*GdWw`_Y0Zo}OjJb*u~vDnVL@N>f8mV1% z6=7ONO!wRaWmJgOiQrM!)FX_i+)BKYvfipR#VNb z{~)mU{z(Itn$5EWEzibc_uV)8i=C6dFgn`#p8ospTM`4&Nr){8UL>kY>fVPQG!G9v z$Q|bTnhy_X$prs>5YZ<$iCZ6075-g%BcaPj&ok7K#?|Np4s|5;6ABHO4W=rV)0vS{ z#~4|c0Smq|D7snJ6j z_=bCe^ld#<&;^{DhZM#Fxc}&IZaqEUK-X|;uB8GjQ2+nqC)on@>OP?HB>rhW|=N2|R<(A;b^_BBY`x23=CbF?AfrXJHW; zdfsq)5t*xyp&M%TxR!yw4FRs6%sU{{y@;M3$WUn@rgY(MIFQ*zG><~&=pr&RkRi48 za`*ydx);&&2auUVn6IbjTaX#Te^+1s3um2m*zveh0r?APD8yw~k3Hcm9z{|(3D#PK z&fcu6+gXeTz6*6q0nOMokv+<#T8C6;yga`bNTH4%VoyP4dJ&o5gbWN8snh3y1dF8l zBghObBJ(ZCbS@(EBgn+-$j}e($Q&!Y9KIdZJ69(ago6ZR&MYGH7-Z%bkr{%_#YJSM zNCrkEk0RsYc?}@+R1>NUmhH%enS6SGaLpnl^BiOXXy#Oq!NjpxUaAn63j2sygi?JP zsoLuJD#`pQWa4#XI@GT=Ns@Ee61xu^yBN@)9gz(vsZ1>~@)G~(7{+3uK9sMU^bRiq(Y?dLQ5u=AM=vpq#j-s26F-EWP8dhoN2Okl?02jBf+tYrS2^O4nKd$j zsS9c;l%ORxg-J4{_rFT-`=u9kw`)lfA3=r1^X3iCdsf!)Jxh~rA z?G{g}qv+}sCP1F=^RAl{vh&4ycK%?hNV3j`#v0Ue*&4_HIsJN#HL^hsJC&5#4@4|Y z_Tht`d}KYQRrUbshG|_p?FVucg>%RUfD|wjcE}KrIHtr7`5=%v519lq@Y7M5X&`6f zQRL%5j>1q*&nJP*KM+Me4Wx5@6gdrKs3VGene<>&VyEYifh@c$iu@-ag~y`EWgy*$ zqsadNGUp-RC7BOJWqv?19zyY{`=3Q+ZU-{wA#Wv_UyaKA49R#1`FrN zMUk_Fd_0PL1xVpY6!~+K@sPhF8F;#@(|I5lJ>*p&1OFl_^BT!`NDQrQ;1f}q6+n(2 zjUx8|Dg4VQavzWdiqJh7f zm^p(}m>XQ}QbzCAoWqr+N`_+73L!`Fua=?2$g zd2U0I*~duQKTIT{F(~Jm?j_;Im&>wB@I{cYo#R#QBkO`j?tX=sy0~~#<*HJ4JyW;{ z2KK`$GCI;;h74V8iBXg#E4ZVhcQ;<&yt8*LAo7Y@GX)hl8n7X4_r^BFzA!ZLkJykl zN+4+7v}NBkDpSUWEJOM6^Gia;hV#~jDyjEj{CNOqE#EM0`4VInv*A_9)UqKFtG3*L zeb!m~jNez?4{t_~6Xvo#tGGTPQ3YqvKGAq%JFMd+TaFyy_ zAk+A!ET)i+gupls*$#x}AgVRl*vomQ`ueXZ;oDVO^KmovHH}1yD|Vc!#5+uVkw0^N z#l7ovVq!1FAFeNo&!o{Ec1~nUp*A-*mUD6{)-_P4n{Azj`OJRWf5_FS@#l;Gnj=BI z_}qv;ccUn5A1a+ZD9>%^YEZX<%09N*yG|#@$J8%lan7S$zklbr+TXVW*U1jm;;NSE?XD-IN8R1lSADA@ zX~25=o*we_&=q%RnI3C-wbJgc)3*+|0{xBSKb58>wichhpXoe5O>xzg3n!67%k*po zR#OIC?N2|g^2}T$=^vDz_MV;|LTky;HPtskfW5ApAn!0fUyPZ)DW$e0i{8O7J~n;) zjcKPt*2lc8s1vqN@6+UcHSMGNTc@nq{u-6BP{(4#^=+!K7fc^#{E$EKD7q67`1a6ANYrgkPTSuytNp=xeGgQV9z^iu;4XVqfJ|*)~H|^ zvN>&JR5soQWXAYLfTm5q`!8YBbd^)~xd$M#@F`RsizcE9s7WM*fhKK4x@FPj{y+>uGdq0=zg6nIY zFMu;sU*~Ln(Ri-S=y3w-VjQg{(n?I(=YEImLuD7)snWX1i~Pf^uFMvGs)g3pJ=LO`0{Gw{P^lG8YGfB!rMWhDuOj`%>c&vb-S1M{| zrSt+y!FI0g^WP@VRCPh)UOIk2W&do{ds`752R!7T09o)5dhuuXzlo;W4P@FwGC5p3f?DgEOttU(8y0bKtcpRy&)X&E(Atuh*<^k365w<$fp zf=}N7#?C{Z<0~yO^CTsu=EFp!f2^HJ+c$K6=ubS)y_%bH)_gfpf z2ZE7A9j08fwp`|l`};(oX7aw77P7JDDfas?e(dIqL_O9JjhBMrzf(scz{^^n9WDDjZZyAU@tqS;RwkGd)L zPQgx3oq1e|6@tA_u%Bs)eVt&xAlR1*_J;)fOHHw_73}8)`we)pXN}FBf_<(j_IAO3 zPOx7W?Dq@ymz!db3-+^uo%$5N>}v%3#irQT2zGi($>T~~73_Bk_RCGNuNLel1^X4j z-YVGVn_^!j*iQ)dOM;z-7}`F%y}!~Fdz)ZCCfF|s_SZ1q^V#VgjS!=#Wgg{2JH@}c zv2KN6KO)#^wBvcg=I;vj*PCK*73?#Don{<9`&GeC@1(r>vM&|vhXwmt!G1-sw>HI2 z3&UQyy2^t6v|#_bV5fI*-hA0_U`XJz=LGvn!A@%vI+gbM)lIR#F4)t8{e)nj6YTM( z*slxry@LIiU_UF^*EYqzAlL^5`w_wZ`8SCDnqco2>@$LWR|2{+zbe?b3HGvJfA$SxpBL;2!JZTBWx?LvRN1cx_H}|iE!c+z`;MmAFAMf|!M<0p z?-uL>O|f4R>}v%3pkVJ4>`yhteo?Tm672nged`;yXarchbZpCvTDu;2*#Jx-E z#Jq^i%`^#qEA*IDG)fDCcLA9;dKB_Y5oC$I6UwPDu8U#ohKf}YG4`wS)R3HIqsSG9 zS?Ov=)_A3>ij2Ommxc_g75S-sU+-eQ*}ArKueGyW=^E3o=yLTnQXa!D7IbHUAlR1*_TLulFEzz}La?6~>^I=&pmXbon_m#@b4{@y7wqQ* z`*p#7Sg^m`6#Fs3epaww6YOJx{bEz>M+N(7!G2Y+?-J~nn_@pA*iQ;}`ZWsZBK7`| zV4rV_{aL|&La<*F?Cpa6m8RHd1p6_;enGI`D%cmAVxJc5M+EzM!TvpT+`2AwoPWJ3 z_QQgGMzEXT@!0zXoBvv{2VbaMrD>#(J0RE(3-+_3>|Yh^txd6)1$$YrpBC(=1$$dl z>;=J|6YM7i`wN17byMs)!JZcECj>h^E#`Y&yealE!M<0p9~10l!M?UB_OxIh6zoR? zds?t}HpM<9*!uP6nqAMNRxYhQlQOeNr^n19BV4+_7&4{6ShDhX zqi2z%UzMWHEYjuK+?9^xS(lyGwHBL2{us-ZSZBuJwP%Q3*G(io341c8tuHw9tG*Xif;t2O7{kCN$ID z8GfF(3C%|u(3}&Rv3+QT=02gBo*C8bl~K!DqaZY|5}N7xD6bTo&o!XAEHu-;MxLI; zpwLWD94?mU1447V(7ab@zTSZ5!$R{qp_$e*y;5vmiiWmWo~MQ8gwUK5n&S;gG}BY%i)lV3G|vdl=Y-~&1~i`*nvV$0=Y{4o4QM_iG#?Y1F9^-o8qj=J zXg(n{UlN*EVKA_monI1~PYTUfgyuv8n$HQ%r-kOLLi64RG|vgmXNBf#LUXwR&F6*Y zb3*fVq4`(?nqL;0&kM~rgyxqT(0oB?z92L&#h}iMew!~fp!uTEd`W0tAv7;Ep!t%} zd_`zpB{Z)va|e6>R7RxBLi1Ijd5zHA=`{0rb>mu$dp(OaGN#?QO{8jIyqZ{7S?^uf zD@VCoc2t%t(Oy}Ku4|Pg-EzgI!cGXO5c?jqA%}Dy5R^Fn9dr?wZ%Bq1|W1`@Tq0GflNaN z8PG_mWTF<^2gK~w0a0Vb7A(5;8cG@ z2>x?^!W8ckw$>}x_i^1ko587;!3*fO(ykQkKzgVQ_!q}!|I43IvrTvI^&Jbx5O+)MQ$5EE_K zV*ddM9WI9#fS9(tB+zff4fr|pxGGx^d>1mNe`h^+P_w<2pJ8C+=YYh$I^71u{1TaE zhJnmM2LH6?UjdRpo++}i%q)<8n9Cu52xQu7=Dq)6)z3xS&Sl7$Raw*pZ4mJIU@(tG z1=7lzuR+G#m1g91AZNU~xaAJ)Kd~h-(ET{Rto!J?gw(()6)xNl~=A006FgEFayNwV8A&n z19=LWbe{Q9AV)mSp9Zqllliwm#yrjEfl%B)LR}Z%1Y&wv=30hL8~1uS+z8~b$F&{E zkS%F)7+Xg{W1zU=TJs2G=Diko6o@ND7-C;8yflUm7ZYY7<0D@JQuf&Y637lO9=-y^ z{2oo0VioG$=8!b50ult>5w3RtF?$4Ys!c%5y<d>+VFl#A+t{s+N#fSmNo^?!g&d!EpWH>R1VA34<;AauAmwi!s= zOZ6y_fm@B`(Nkw>MAV5?EJ9t1*%D_0MYHc#e# zK+GMnC3xRUF`pVQ1gAXBS;(||b#WNT1uuus14(!6!>3V+? z%+=@l*cu?`J@%gkvec8=4&;c3{5p`mUVdHxLWgVJ^b)&cp3Hv)a>3)e4CJ(j{H@mG zeD#Mw;?V5uy!<6;LYfUpio>E9$TkJU?uX{45 zfgG`U#^?fj^aO$V4IO*kmmxFgvHt}SB#PwlDv&Ey4=x4Y2V$OfW<4!$MN9G8#a%#- zLNf}YbNI7B)_LXH0;J#5+y~@}l~Lp8LujYa!B!1vm`6Pb;iAm&30CiNg$J3AVawbnp7mA@O%WW}CIzfH9JhYY&lmhrHd2gaAcyGf_k-MUk$N?`39s)lM{MD`3~})WAFgrV z@OTxs*)$xrT&4}+v7)hu#3!qi7@MMJr?96SU+2Ze%FKopLJ&r*#+wnt6ur|>m?KQ1 zzG+E|N)Xa?b2y1LOQDu4W+Io)97q-HzGPBP*)f?KPv`PAE-EIxJ4;?x3&nyNXtIhd zO`)W07P3!f^N&|pQoluMf3S#&NAr_-33Vz{C}$_~X7e>cqj&Ap+tK#+^yqIp=^NMZ zp+kpIqmT94ch`m?UN2x@XdRlCO<)@Dy4G*nW*BdrOHGzDVInZ!@Iqhnb+i4p#eQ>F zH*B!rhE48kMAN%0x~bEBb;&kuwCD!MwIMLNzVUx@5CZ}wZQ)K`E0jWy{$^nLxKS^@L zl?tM-RHjy+MXz!wlMcoYhB>@2b&7*oNPAaKVx)IahlYuXeOc<6Xn&i?#Z+B9cP2GaOcSu(w7)9Qzywy7u(K%@Q^zeT zcI32bAlA#o2(dXdHJyU3Tuf%s51AHSDnC)m6d%W~yxWVUm1QuOodj%z&|p)T!r=AR zDl-A5iT=4MC-x0ic~j%%5R>AG;=zsrf_q0N0?~mz*h>vz28AhP=zX+daWYRYvqf@@ zYw(VjVz3`^n@ZHNwR1Dtvxs-QpTH~YAKxAh?AiWA-}}Pd+xy?w%WuoxzN=66u3=a^ zL1>G+XZwy&W!(lqV+hqpWXx|-DRX0pUC3O5TH*L)$iL>KZ@i~#SUsR>eb_&d${g;? z9Axh`#)(`{l>&1)%woi9GpSayXaSneUy%OX~AwC1CYt z@{{8<=Aq_@{mhDZZ#_m1<2O4%tr*pE_r*EKE1KcDYQ!4C=XuELJgWX>+wSVAK(nlK zxh6N&rRtfviTHhQ$b%$`NF92drT4aUr^?CQDZeUub5|GoJve9m7DmG(s#6}q?Zhmg z2Z;#fyBs0a2HYE;`!dCRCbwy0m_#YrVJP=!>NNk zner2P4gqN!svpVb5SDdGvUs7_!^LbGgM8HTlj-3nX}!Q)rACH38ZK9*@^~48c{T4r znP@DkEU&^B&tt;squMZZUSFes9Lprr%E8lFlxuu4M`0C>pG-?Phyqkbf3j3YxJS69 znmJf1XT}E+hI>jVRffLl4w<4K=233ygAT167D_5y>rCr%shdx_k2X1DpVmsK_u{#j z5>?Z9)J3LPBhHE?VQNAPp@LQ8R>`@A`nix zsXST|%|yanp?5spuV(yu%5H}uF4y%V3cHn)sq9EL<$9EQp_fBFVp%^%tCNLCP}4N@+MX}N&s@!l z@L+4!v_-^|LS<7#v0Vr;mh-3ftUvQynWX{#2dAVYs8wqS%#t2deC%a@~ zyuVOkwEj$Ts!CI3_bE`DfUT?8)#TWPFSD@YvZmV5{v-krmZYfeu=H^NUZ>U`D#S){ zl|oesu_IOHb`cgPVd=soWr0iuiwGGK;8!quHX?Uuq0;B3Q@L8(N{n<#m~ zPG_NNR{)pE6h*|Vw?yQ!hugkMRYD9P-TIgA;`AH^ZqS=7lrcHd6C)%j@kAlS0CY4@ zQz;da^+FFiF%?SCa!V6=emn`Ym9#dcz7&+!grA(=##ws7>gdDU~PSY|#s&H_F z_BwB@O(JHQufQEECS+VT>)%xygdS^*U?hvNJgRe){v=07b?PcE1xIj0S6X#q9yRnD z$P_U+#o~JkQ3#FF4&L>RBNo+9=$W`|#x(goV-x!^tg($n^)1$>wN?bw(8P~$)@(d* z&tTz&3aWFu6LrE%S0Wty>sX_aR#z_((dhxCVOFt_2i64|kdCV%a+U7F+%eL5gMsuQ zv$EQ)9rOUecs7Mq)f!Z6Cw~2qE!(P*TEBS(zLI6;xvg+#B&i#eGtrgcgi zPg8VPht~X(w7OT>%A)gC#1?;IY4XV>3f+VS0lgyE{M1*C5sfMq4MMy%J3E_FBe>|a zhhvT!t*A)``dd2%LSGe~SD+*26;WRFR0Yjt^x`J*MhC$ty&tDwG?6PxWS*Dff-8ry zOTmm)jQE_O@=xkSvErph?lh^yeNjy9(-Y%0Ybo;#=JU9!rZ=YdVo$(XP(KD#%+Zv& z$=eYn#{HRcWRmOM=;9iR%uvl*E~$xxS=0MsL=YJfUtGwI+UK!qnKlQh8rEPJz1O-kV;2;f80z;j z)8t~3+5HiTs~7fG^(3J;E!Jay7Xb7vKePCw9+$LN>y5P?myKYyBMp;iCbYbMC{vsW z>MdPR6{OIfa0|}sajK2Y7oBp*Q37fSgLW_Qy2{mK8t1e8^BFU9DeIA0WS!8A>R?4) VC8U~?UQ{fNWk+a&RT0F3{|8^`ykP(U literal 0 HcmV?d00001 diff --git a/end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/xsimkernel.log b/end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/xsimkernel.log new file mode 100644 index 0000000..c7eec70 --- /dev/null +++ b/end_game/end_game.sim/sim_1/behav/xsim.dir/main_simu_behav/xsimkernel.log @@ -0,0 +1,7 @@ +Running: xsim.dir/main_simu_behav/xsimk.exe -simmode gui -wdb main_simu_behav.wdb -simrunnum 0 -socket 50423 +Design successfully loaded +Design Loading Memory Usage: 6248 KB (Peak: 6248 KB) +Design Loading CPU Usage: 0 ms +Simulation completed +Simulation Memory Usage: 6936 KB (Peak: 6936 KB) +Simulation CPU Usage: 30 ms diff --git a/end_game/end_game.sim/sim_1/behav/xsim.dir/xil_defaultlib/alu.sdb b/end_game/end_game.sim/sim_1/behav/xsim.dir/xil_defaultlib/alu.sdb new file mode 100644 index 0000000000000000000000000000000000000000..e3a06c8304abec23439035b3a5eb77d9b97017c4 GIT binary patch literal 2129 zcmZveJ#Q015Qb;(7Juc_-%cV(AVH9%fC@S^76jq|HWD-`kfInO2lxe4k&Tp!q=16b zHj#*gkRm|?MU;Y)A3#M#4>ip)J9C??oIAF85+OOaXL(F8uv+I@JH> ze?C@F7zX@%_~RSifrE@5y}49>R^P1Nt*u~vX(Qa<-WtIG;3z#~_WE1>_N#4BjG+6` zz-Y8y*m>WR#D#$bW6sB!vvKC-ICCM+oR2dX_RiWLAOD! zq3hc7-OYNn`=r+B_OMl3Zf>r%x~=7AYqxg4dJ9(?weGQ4zuj#$Ypt){5API=+nuK$ z{TqW<2xmRJ#pKi09RU70{PYXPKn>ybsIA(72t(_1`wl1W)}R{@Dp6ZmTV7uqY=zWP zd)9rkO1IB~(bjzpw4lx^-8?sFRO4*56YGAAbHh%DR(Kj6w)cCn{!Xv&`Ecq|AudEa z0{GA=8iw~=fmNrHCo%%5Ae#ex8_;-*v703rRt_V!#jpw)<3?DLQhASJ_f;sOHs}n= zunQ8yl32-tSlS_UDOCXcLhDfhKB8gvG$I&F^k8CG7%PEEfLWlD#ZtL4F*zkEyg@?~ z0=#%E>D|V;lgfYN?9>3X7*HuZM`My42Ap-;6amw&z%o6k*UNc2%lVX-XKhN7=K@PE zFFnbNmVDaFvo>YGt293sSaf;m<#^7Fmtk!xGA9>UaT)2!oT6pUAurF`Oh}#!Ot`%C zBrjU>!(N`XnUp*im~?sRNnW(%N4z|1GbMR0@T|*APx7L(I73@A8s!(=KsHQXrSTHA z$81|EeBiX!bl!%yj(gFOvNrT`+OwCU$bfMVz*=jXNdR0&0M-s5MTr4f55QV$dgTPb zbp&AT08*40kn;enwGJJC>j=Qw0i>ufAnyTKYr6@u0OfsP80a$CDZ~(3&0BZ-3BFli12Vkvr(gC=R0IVHAiYW$^Edcn~MaoIDw&U&l b!9^+ohDOrGe@bU_`2yH)1`}Y8J|_MHYnXVQ literal 0 HcmV?d00001 diff --git a/end_game/end_game.sim/sim_1/behav/xsim.dir/xil_defaultlib/clk@div.sdb b/end_game/end_game.sim/sim_1/behav/xsim.dir/xil_defaultlib/clk@div.sdb new file mode 100644 index 0000000000000000000000000000000000000000..e15835b25be3414acf7371ac4a86529642e6c48b GIT binary patch literal 1437 zcmb_cF>ljA6n>X9Nt33~xM?I7T4<4I8DK*~fSv^gI|OHdr2|scK;<<31x(O_+ND$i z2E=5?NOVD}R2CSj42=8$CL|a{z<#T=cI0q`&!W z8w9J+JV+Iw#PVBVpeoL$Dp=-B7C4^;p3ef8vcSbG@In^2kO5Zu!RDim*`em+)}+x& zkVe~tMl`PW_Ig$mTlR`;`wyMAAE4*ho$iX~dv?d$bMBfqvDtR~VX%73_d1UE$$$Sw zz$N(z=syJzMR<`!B^IAGQFMj}fWMADe20@Dig;OPv@N8k0fR@FS_oh4?~)$C)-p|p)JbB90xrdV z0feXlzRpokNz6!Ncu!a08ec8a6Ji?h<3FXx(y%qGGj&wLVHmp-qW<5MW|Wf1;eFar zo(ARttYe(gVoXH_TjN-;YFv?2rAta9Ry|EhrC3#Z;y7vm>u5sS z8&^pwA=;gVawvt$2_cT9ko3w6ENZVY$9r(Bx~n9*<9O5^FQW!mC3;_P`bZpYTpziAzxumZ+==K~XL{4UqZ6|e z=SEHz$KAx}<84cqD%H!E=DS=HMb|rev#XW4%2SI=Q4BZo^LoDkkh zW6NiYrI}^FX(UUFO*=ks)hH{QUrcTsa%`tSTVI{|KlNw&g zF?6fp`5Z%!8eVKN=*_f23@xSebJendXyJ_f@C|c|D7xl{FJD@$&aYIeWxa7485>2h zZWa3`u_sefhQ?)^MbR;Tb-r}@(s23zoT{}|`q#~jHZR;FisaFvQAc@K>>lvv5EW7( zWK?MVMIjT#E41#SNNNhLw0h}`ii2ZrqH^IqE!@8!xgu?L5U((dlVOL z-XP`tViHBrCaQH0iXBK8?*1USk$~FjP#Ai}g^in}J~cl&Q|Jds81O^2`T?S!z@VQk zw{A6lbac=U(c@Ai?~4lc1yn#xfJ%`oj&FdrsIx#Vai4oQ762vsP?h_P61}L(expP`s&c?6(UYniG)nZPD)$>DdQ+7L zj8gA+A3vZorJzDf0hFMuTp(-YisOUiBM}`C-W8yAMTNQoVpX|79jU8B#+6PI?+Vbm zqC#B((G?e{BXu=oTWLLT8ly^rB${Uv3TbJznj=U1dmB_!i!|f1`+-9nAdjif5Sz#kc+YAXfI~utT zSpmUy-7F6JYofX$>ktM9Nm?Hu)(24MXmkjJm2%o9BM)23J*!5<1)Lq>mJsS&Qq(QU z;+E9vmO$JR&}>OL?Fw7oZngx@q&S)u1VlnL_n=ny0OB5ieh)404&xIz6NY?BpaC_6 z+VyA+H4U;38r+8qUAf3yL1T0vc}ROr}4|UQ$L6XIFn@>KLo^2 zREwW1#!t-nNz?eLW&G4?{6L(!fV!=ZpQQRy#=pi=#@}n=2hL=fo+$*RMKyg=tKk4K z96*FapE9>q!eZd;7+VuU{UmAIYZZFy!v2ae%{NK(OK5X_&y_Fev!LxXZJ&wSen~0Wsr^LfnVmdL5VK zoJP{nTdy|(0B3SvX>ZYh)41DkfHOHN&7ZrqfVm9#;#YE-0MB>mqK7TLxC`XGCnJ))jfdtzy;(3H*R(N#CTl2 ziD$jtfzwn%&QShQ?$i)bpX`bY5wZOD`G~Yqz}m8Pfph$XApwW_(}2>B84hr`KOpdo z@X=E;SO37F{1l|?HLa8C$)^RJrkx?J`?p;>ohu~WE zj5Jbj!!!ePYmfFS{A@-C)MDQp7iErtXf&VT~X;H`!P9L|6SIC{`< zfWsL;VAu~C4sbXF3jPej322 zo?2~tAim-OqHFp33|YTY@Qohq=BrNk?uJHhL2b^Myn#b)Ah0&?G#ud25fEHk=m>8^ zM*wsA!)7^f=m-kzh<6zha5zUA5bGm`102qXf;_ObzVGoYtuJuBz7(~-K)$}zYJGuN zUqDk|$SJ<&!5|6t8`qaFE03C(fkR#pSfDAx0S+^o0t+;4NWdX^3VudEW|9Z4Cr?q6 z2lB~NtH}c~c|emq>%^D7H;Lw2PdctskLwzY6U~|3Cv$VN@>-D9m^#$_vrB>?;#QFl7`a(|eg&>+M z*l%24HrM-2%)lWp8j#lq3mhmYuBzj5+xt`D1-fy1*50uTNph65ZL5JLS~=51&|U@rftSq`iXs7DW> zzMQthSPsnPA2Z8=!&8m|OaF000uH68&?l9`$;6kC68Bs+T0S7Yv;*R$eJC#AsGm`9{-}Y?MEGh>L@kNt zD3|{BpP9Z!9zp;P6M+U)?(2pF9NGZ_TlgD>100^m6!?|svxWp5j(~zcvu`TPrv;p* zH-)=)nI0Mn-Ix{!UD@me9MXcokSm4*9MYlzsaFjLI6O`%Fs-YG1RQ1-1n;2RP(KfqA`XNWh^$5Lm?T7!Ghq zi^6{CG~86zJWp>b;QCDk(ce_W{kW;V$0ZHi=GtbiKf$Pyj^wAR!BKqrGvP$%P`}(;F063f#4G8iVh65Zv z!&2A^(rbnU95SKcGx?=wX(qt+Od$G9i1#CtUvbHrnf%%W0314s0z2wAh6Eg@A_b=M zTSEd4sZi+Sq5R&nG!@`_DiD1t#0QYdAGl=ARQ_lJ01l~8U@CtyB;b$=1*Y<6Ljn$| yP|z2%C~oW9*FP}0|3JJ+{uD2NMVCK--?nYL{FS(O7yfLk{{t*b+y?otVgCcGyh%p@ literal 0 HcmV?d00001 diff --git a/end_game/end_game.sim/sim_1/behav/xsim.dir/xil_defaultlib/cpu.sdb b/end_game/end_game.sim/sim_1/behav/xsim.dir/xil_defaultlib/cpu.sdb new file mode 100644 index 0000000000000000000000000000000000000000..f2ab790286b423485d6f160eaa14dc1e986061be GIT binary patch literal 2537 zcmai0O-~b16n)bfC={@z6y!tXqlkhFcbb?qd5xeQlw#uAgmlof#b4m6P_&}~x^dyM zGh?(H6BcfCVOY5I2e@+OUe|8M`!R!$ae|XDoOkYd=iPf}dfV)i8NE-4j(|)4csipS zzn8u})I@Gj$X}noev)^@QOY=XYqeZ2*NS(|HCbMLtasZxLoy-6asRCU#%;K}do7`n z1>BD#YKffQ^c>zXAK5`Rb8%!oj=T~_F2|8eapcuFav_FHxz6q*t7t*xL1~z1%89ZY z!1{1q-)`3`rOuYQ(Q#zeELpY9YNuMVs%>+9fm_f}Qq2EP zky$YQUN~p~`_kU;J}>OFz?+if_Qb>B;gF?5} zh#ubuv6Q*CS*mQxTDcevr*Ny1xuG-&WBvA9+jd_Db%jxQnU685r?FmqYX@PKp*}&2 z)I!uP10XV7>LEIuqa`JU%QP%|-Lh5RD8Yk@y9}*Y=7Xb!Kdj)af-fm}QNc3`9utO^ zqBZ6u{0j=6R`5l_Se)=@70hQde}(uV(#$D%Ucr+Jo-#CpmRP^=c?)CS!gxW^iUa;}J!ZQ}CFA`8d||aT)T=lZG*$#hB9=CJlFh@i^%jbN3i?_p+qt?lC@3 z`Vr#!Nid#Jc3HcyIutnYr7qS?l zS4Nft(Ar#s$jW&eXCRCu4rjMN;7xF`x4h}J3wTUQ4DRMMOkrtE}A@&Xx zc<575n?~y5cp~NQ)W2*0yBcD z853wmNT*tPLuj^eVs;Z`IPt*jWCA5h6WMoD%q3&QHiJ{K)$QGkzD@ literal 0 HcmV?d00001 diff --git a/end_game/end_game.sim/sim_1/behav/xsim.dir/xil_defaultlib/glbl.sdb b/end_game/end_game.sim/sim_1/behav/xsim.dir/xil_defaultlib/glbl.sdb new file mode 100644 index 0000000000000000000000000000000000000000..c2a84f7555b92ef5a6cae2b95db856dd9928ecee GIT binary patch literal 3821 zcmaKv&re)c6vyvl#uiAS%nz6W3N!p@`B`CRP{BIM9VsVjD&GJpXFn24uFuQQQ zMK>sQ+?4fy+PSy0_TX#fAqKr4*WsFNk^8$!;B+g#<4Kt zV2H7Kr@Hpha%q`Lx218S&DDDAB3XU9rtYkBTPk--H_Ee>s-B-)snBb^JYTx5Z!VTA zfmpgxSy?WxJgfY?bxs{~kr(J*EFSdh(aLs2cjwz((>nbr%xJ znPRLbuk@t4`vTvKK1yGq=6i+WULap2pX=o9yd`@3U1_$rusv5eWp8DBdIokS_={wZ zKHlDcS|6y59F0r!t9t3SUSj9khxGQF*(FBKrq1?QPpX(oWpFEdkBm1#-sbI%?M=P0 zxo0BFEt7$J?}zQJExud2+iUKPgRSrD-5umUpNgkCi#>^YUn=k=Px(68xBKmbqHWs! z_h{dJlW>>w*~Up{C7uz#pGA0B>JpU5B~F{GN#PZu(6u^`=hq7#>ix(KR}&ZYCA%#|9`mF&)i zGDF0)A)!Zy4G17Fi88fSw;vq@fxZw~j|Ir?S;%;R{Bjm@ zH9&qn3z;A!f%as3=)=mVbJIcjGNH+U>whkn3%I`La`}K8IE(XiiN5|kPL!^EOm>+$Yv=Q#fvj^3Iu=>KhvuUvJ|=>up?A z&8Eyni4W;_T_xoAV)}g=oC-!;j_1X#F+wDdLh`@kr2d9^zC17C7Me*Oj+TUUP9~FX z0g}h1B_W-Y$#%B@$ph4qkj}|uhg*Q;iE2qm{dq0V)Cm$FlBoz%e^w*YhSaT84?8t| z)=GcO0CwTwYj=TkfjOT}w*bj=*piUW$z+#XfaH;ENl52pvfC{{@^rQ&q;=}IC|hGF zITgV}W^SN+=<>WvCxY=fx4|G~Fk|3gP$rnUfkSbyo*)=MPdxu^Fi3riTgI2?^_m49 zF+K|{2PwIX;P_my9Hiv3mg8rRj|j^_x}?5pas-fm5)q8fAi~SF2rSJolsUl45kUGKh+uS9$H+cy zA`AwSil7?o4|Vz>Pgeui6xCD2%m7yi1MT22TKk}16*RP;6*MfC9;evX z1P$%S5al&thd!|YuG7@lDl5bT!nRiIzc))-q&Jl2?y%tnb)3q5!?X^I-4{sK=+!E_txa`EKJ zSv+79lO8;IXnOGI5Afv4yPmz^J2SgvG_kExDV=|LXy(nr|5PFATksmLM zn*Mw3+Y^j3Q;7UJ{rZ_aKqqm1`d+2puD8rb)lE{bJlD4?X3ZcWgwA56@q5>D_j?Bj za}D@E3%C=;Y26_e5i5ZRF0-s6S5@Q<6}he=*Hq+96?siT#$CGqtYJ2wb+0v6Xq*Pw zZD7Z+HjbyAp4B%#*p5*uQ@i7ij;KL9E}gdf)?vBp*v145UK`Z4=^f*GGKY59?BO?q z(0EL4gC67fkih`MJ3-{<>BsLVfl!)kcy{g8K?f0nV!QD71x@(y(5AMlvc!gik?Yz+ zr>C-pt)bQBmQ+A7K|!$FwaR^{ADmcp&?jtnj~q*7(apBGsWL=pwN*Fwl%}E5l!viI zhohr1ZyhGK3uE(IFMw@|r6RRzO{DzWA>s7OtOM2wjDN((9uS#nw|0mx)TlOFDkpg) zDxo>3CiJu+hr;QeEdd=)^evYn!uCbj$KCtYCfTm;Rhxn=0jay~77UH~+=6X1aokI@ zrUOgI8d>#p(KsdHtb{W<4)ZNbhsH?>7bKjQ@T`P$I=;%ctS~=ZV3ASawB(bK@B+un zJXTaC@RGzYO1LOtQIha4_|39`_+w2G#vWmfL`pLIkO3b{)usOFKsbSEm6f>42z z)nNGSF@VC@@o-3Ncq#e9dU7t6_V?VGy$+r;hn|t2ry$sQawIZ~08cM6zp$8H%3M%n zH{4U?&^IE4anaxK-1Fz29Ll)`!E$pXa*F^jH_l%WTR0cvP!rAZFbDo%2nP;hhc+!c_OVU5*Rt^9_g{E%sEr04ZeJ00eldle n!^mFc?Nn<_4=4r8Uuw3pZ3r0sjJ5h1RqS+HT#L z^tL9lF(GlK3xbJD{{UC6-0RxS^_(*^?YXr#fqebWcYZT-?$8i@6$_sfq9))`zh1|} z&fobT&!rgd73$B?_b=+cIE*-_R}-o2)Ry}&xu#Ny&7On(g11sB$(-qA&UG>uI+^pG%*9UTYzH$^_x4_9+zg!G z91S-b@!H)qxIR2LcB-q}DxGlGYcG=Nny1zi*;@8NCR!T=A2`hE2Ar|1_lqHaQH9IWu0;x6{)pTVzBg8T{a zPc%wisg9LhR+&U>qk2%Uml~Bqd-V+VRm27~f78UXwo;~jvdTHEl=9_DuDx;| zDvrDDuC*6pQ0VfKTPb&^y%fh%c?v*nvWxU)QqVKe~{@Y(?d)TGd;re zDAQw1k25`C*Ok5MsTn`KnI~4NO}hV(l5$Xgpd7vqlOxG8?!9HtF~k42&d)X#-)T z!n8BpU}2*H7~UTPBgtXfKp3emg6Ree8wpC-1S`B`6UEHI*F$IYfvH z_yO#N0+5g*K}8X{pyUToQPD$9bIi=%*{9R3vw7Jcr-Rz)>-y0u@SAS zpDO*K_c1v17FKo84?n!tp6-Xb5*+kit_}_ZZw!X7JRJu5x_6M@@mid>rt!K z-OQ#e@=yo|gWmAqMK)(s&hdBs4NkmyK-(9-`pHr@uEb6OlvAzE)dAmHG!WA)4R}96 z)P}c{0R03NCNLIOs*zG*6Gp|LZ91!1$q=k0$S4UMD>VVXk{_k;29-^*7U20YKx42te2&M!r4dS0{#U~2pG_X#lf*H zCQc?Ky68X{9Q^~FoZNMGxt{kq_ibP5)h57mpL5RdKJV#GY3}K`msYB-_(eaSjeGv@ z*>8^=Rp?Rl>-g(ux}}b?e&3DC(#Fzyc`>NcQe`c*zqkA9<$kl5T%}Gj6Vcn|R&#rI zPdUKw?~{%R(w?_-*pP|IjtO8cB$-o5=1h_~mt@W+nU|8x=>#*|Y-~RX%ORgWKAH}i zZFIWJ>_+^$vUxBcg!R>6x!#~!un?|4uGMP`VeKHeQ=X^la!_xHm7Dci7}P%1-@S3w zmfk-93@8;vbeVD5Gi&A=ukT3F&*S&sRljn(>1y1PZtxAOIt%3+j?L6dle&CIomrJ*KWx7{U*(k3qO`rbj_%s*qSJ8c= zxBv#;Eet|AZ5cBhZ!niv^xEYC&Cv@L4wT`Dt+PO-z%d;f30UFhAq`;v4HdpXZ)5+7-+?udQf()#9TfqRu^`ZZK*bPf z*XJMx0>_4AEeJFqP%#AB^*OzPz_B6S76cj)s2Bq6`d%9XCx$4x&PVPAg)X)v@0do- z72V@wfQ!Cph_9wik#~~3Zijlfr!UTzF`5Pp45g@uClT7gZu_khLF3L)d?Nj3iO%zz z)4nR`9M`xwCgb`5L41ie_|Y)&zaQx#9SJVw`8l$hidLzWR^|t-w!Ebac%Fo&LrJ&9 zjVDWpS2`U}UjhR^8bLg0WDwPgCruJhO09TO%2_OlC%(j!5gkuli5E{wytAj{=}REt zN253;wB?rY4$7oZ&Yh;{OZ3B!#z29#T%o{qO3KOdr&?jChz}0^9U*cl$6p@)0MWy* A)&Kwi literal 0 HcmV?d00001 diff --git a/end_game/end_game.sim/sim_1/behav/xsim.dir/xil_defaultlib/seven_seg.sdb b/end_game/end_game.sim/sim_1/behav/xsim.dir/xil_defaultlib/seven_seg.sdb new file mode 100644 index 0000000000000000000000000000000000000000..219766bb9440b4ef5668df34755378a98b78a549 GIT binary patch literal 3702 zcmai%%Wqpn6vk(qliVgv)5Ok;RSONGj|Cth!GfYOBqcYL1PL}>AVoD4H$gll9tA|2 z6x9`^CA2_!1Z<}g6%tY<*ubLdf+c?dD^~1b&E|5>cV^<5$WpEp>pS1f{Bq8jJ0rXN z@`0W`#xxB-?(cI4dg#9+e?D)`=%8``-u~k^_oTTsKtoT=%rDKKtv;2{x%rth{g)c8 zmJ)f;nG{8&eeSeuj$IX*Z8@8*dr+ z&+VW7GW$(wk9(LWy~>jYUplebZnxaY*~R%;fs?P9U`h3(75>yZJ zfs`rySUYOmFQF>g041r4NNNZPst+Vc1uwc~0B0O@4^;eBYC$V(4PrZF1Je9S#nXUf2EF?*st~$UAwpjvv_*w}#jX{uM2SEr6~aM zTjfNd^hJk63$?=Y5g0nD5DwAa?F!eT3ZXj{BJ>qPmr$W!v1^4FqC}vR3gMta9V=rG z)s+gNbPpl1hh^;HM-do0sSpm)K2$ixq>DOz*L)ih7COln4)WD8%~xH?7fN>o5<8;# zUW&lbNxpE1hLEqkq8~@op?M4R-Io&#$Mx}9Kr&&1qyQ}gLRpU}(0t506gVA9m>?8r zp~$VY0g97bNhRMccnLmJeB4yNc=*A^W8Fbsan+}AOlWeFVHD$b*xkp`H5suXb{Cd&_um~gxHgy z6B3+^_&6!)>xcwRny+*mu_r+%BsdxI`=O+7A`-M-zjzA}dlGa)f|JqyK>9W!K@;(B z2JI7KPl8TJa58=S_mAYDFYyy>^ikVo!ojNN_TG zJdoNE30f~ikPv$kbV7oY(F1|BB_zE9RqE9Poh*ct(IdQ&7Z}?+Qm%}S8TnyZlw6sA GasCgN`6vDW literal 0 HcmV?d00001 diff --git a/end_game/end_game.sim/sim_1/behav/xsim.dir/xil_defaultlib/sram.sdb b/end_game/end_game.sim/sim_1/behav/xsim.dir/xil_defaultlib/sram.sdb new file mode 100644 index 0000000000000000000000000000000000000000..b8b6faac53838fdeb0c8641b8a415539d14b722f GIT binary patch literal 1673 zcmZvdJ!=$E6o$|2-Xyb|Omsg$BWO0CCb6&)BS_>78f2r1f;JXHa7obMFRcVxfW%(8?mDu=EF5S=noCGoJh2+3^x)isiZQIrGf9H?!I5hJuI`ySOti2odX|H{{CzpO^DF0XB^Jqm9$S9ooCQ>})rhXEIe4oi*XUEGd$o<1WF z4*5Jh(#|F;cJ{QrWAexy&`jioQ+eTBUbv7K&gX^a^1|tyupH~1jaJx_t*2WvgO>Ht z^p>QFT#Fw^yX{y9AEI8+SklpU{Qjj5^meRAqi*~8Ql}RM8SK6YbR6kR!EEIO4xi=l zjuRybpBJCWaLDpUk;B_3{(bQ2CmkbC@uJjEN_}82(osyzRC*vE-w2g4sSIl=bUN*& zZqzmPVW)md>Vx4{xWenERC7wFr8Ke;wpt-?wANRft0Y5p?#ahz8IB5kQ=V*^h{HZP z$cgFte|be-NJk~UlTjr5!R2`s;@1VSnKm=GZL?(C@JJh&M}mg%bYNzxIwrG2YXV6R zEH*Y4khSzXjL7q9#9w3{8T_uaVW(DPH@%6;^G?Ca>< z(~blT2`H6-2VDsgU+rX*%s3J-B%o9R9&#l}d?o)*(=(ZMBw$EDsRZo15}T+nlbm%V zU`Rlz1YB|@NPKlIlgv31FeIQ<0xr7}B))oOB=YK9mRIMZ)tJ|}nJythp49Lff}OPP baJ4Squ1d8==0|2o?=}M6YZSODKSKWiET?SK literal 0 HcmV?d00001 diff --git a/end_game/end_game.sim/sim_1/behav/xsim.dir/xil_defaultlib/xil_defaultlib.rlx b/end_game/end_game.sim/sim_1/behav/xsim.dir/xil_defaultlib/xil_defaultlib.rlx new file mode 100644 index 0000000..1999a12 --- /dev/null +++ b/end_game/end_game.sim/sim_1/behav/xsim.dir/xil_defaultlib/xil_defaultlib.rlx @@ -0,0 +1,15 @@ +0.6 +2017.1 +Apr 14 2017 +19:10:27 +C:/Users/hp/end_game/end_game.sim/sim_1/behav/glbl.v,1492045073,verilog,,,,glbl,,,,,,,, +C:/Users/hp/end_game/end_game.srcs/sim_1/new/main_tb.v,1574444723,verilog,,,,main_simu,,,,,,,, +C:/Users/hp/end_game/end_game.srcs/sources_1/new/alu.v,1574447080,verilog,,,,alu,,,,,,,, +C:/Users/hp/end_game/end_game.srcs/sources_1/new/clock_div.v,1574443035,verilog,,,,clkDiv,,,,,,,, +C:/Users/hp/end_game/end_game.srcs/sources_1/new/control.v,1574438486,verilog,,,,control,,,,,,,, +C:/Users/hp/end_game/end_game.srcs/sources_1/new/cpu.v,1574444669,verilog,,,,cpu,,,,,,,, +C:/Users/hp/end_game/end_game.srcs/sources_1/new/main.v,1574446989,verilog,,,,main,,,,,,,, +C:/Users/hp/end_game/end_game.srcs/sources_1/new/pc.v,1574438348,verilog,,,,pc,,,,,,,, +C:/Users/hp/end_game/end_game.srcs/sources_1/new/reg.v,1574445243,verilog,,,,regfile,,,,,,,, +C:/Users/hp/end_game/end_game.srcs/sources_1/new/seven_seg.v,1574438702,verilog,,,,seven_seg,,,,,,,, +C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v,1574447589,verilog,,,,sram,,,,,,,, diff --git a/end_game/end_game.sim/sim_1/behav/xsim.dir/xsim.svtype b/end_game/end_game.sim/sim_1/behav/xsim.dir/xsim.svtype new file mode 100644 index 0000000000000000000000000000000000000000..5142c798fef8e9d0c125e588c5b73fa4ec2baab0 GIT binary patch literal 8 Kcmd;JfB*mhNB{`{ literal 0 HcmV?d00001 diff --git a/end_game/end_game.sim/sim_1/behav/xsim.ini b/end_game/end_game.sim/sim_1/behav/xsim.ini new file mode 100644 index 0000000..f9860a2 --- /dev/null +++ b/end_game/end_game.sim/sim_1/behav/xsim.ini @@ -0,0 +1 @@ +xil_defaultlib=xsim.dir/xil_defaultlib diff --git a/end_game/end_game.sim/sim_1/behav/xvlog.log b/end_game/end_game.sim/sim_1/behav/xvlog.log new file mode 100644 index 0000000..7d38d7b --- /dev/null +++ b/end_game/end_game.sim/sim_1/behav/xvlog.log @@ -0,0 +1,30 @@ +INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/hp/end_game/end_game.srcs/sources_1/new/alu.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module alu +INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/hp/end_game/end_game.srcs/sources_1/new/clock_div.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module clkDiv +INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/hp/end_game/end_game.srcs/sources_1/new/control.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module control +INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/hp/end_game/end_game.srcs/sources_1/new/cpu.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module cpu +INFO: [VRFC 10-2458] undeclared symbol pc_jump, assumed default net type wire [C:/Users/hp/end_game/end_game.srcs/sources_1/new/cpu.v:10] +INFO: [VRFC 10-2458] undeclared symbol pc_freeze, assumed default net type wire [C:/Users/hp/end_game/end_game.srcs/sources_1/new/cpu.v:10] +INFO: [VRFC 10-2458] undeclared symbol regfile_regwrite, assumed default net type wire [C:/Users/hp/end_game/end_game.srcs/sources_1/new/cpu.v:12] +INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/hp/end_game/end_game.srcs/sources_1/new/main.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module main +WARNING: [VRFC 10-1315] redeclaration of ansi port clk is not allowed [C:/Users/hp/end_game/end_game.srcs/sources_1/new/main.v:24] +WARNING: [VRFC 10-1315] redeclaration of ansi port datamem_address is not allowed [C:/Users/hp/end_game/end_game.srcs/sources_1/new/main.v:25] +WARNING: [VRFC 10-1315] redeclaration of ansi port value1 is not allowed [C:/Users/hp/end_game/end_game.srcs/sources_1/new/main.v:27] +WARNING: [VRFC 10-1315] redeclaration of ansi port value2 is not allowed [C:/Users/hp/end_game/end_game.srcs/sources_1/new/main.v:28] +INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/hp/end_game/end_game.srcs/sources_1/new/pc.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module pc +INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/hp/end_game/end_game.srcs/sources_1/new/reg.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module regfile +INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/hp/end_game/end_game.srcs/sources_1/new/seven_seg.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module seven_seg +INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/hp/end_game/end_game.srcs/sources_1/new/sram.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module sram +INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/hp/end_game/end_game.srcs/sim_1/new/main_tb.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module main_simu +WARNING: [VRFC 10-965] invalid size of integer constant literal [C:/Users/hp/end_game/end_game.srcs/sim_1/new/main_tb.v:46] +INFO: [VRFC 10-2263] Analyzing Verilog file "C:/Users/hp/end_game/end_game.sim/sim_1/behav/glbl.v" into library xil_defaultlib +INFO: [VRFC 10-311] analyzing module glbl diff --git a/end_game/end_game.sim/sim_1/behav/xvlog.pb b/end_game/end_game.sim/sim_1/behav/xvlog.pb new file mode 100644 index 0000000000000000000000000000000000000000..0c0352cfbb911d72c464492c713842dbc3d9ca42 GIT binary patch literal 5379 zcmd5=TWi!n6mGWdE{;$Gf|iOfR4XF2X|k7I@Ig_*J5se`U&3rMyB#~3gqdV7{Q*Av zfBYxj5MTTiq9@z6v?kT0WZQk(VJFO)@0)YJ^Ua{o8oG8z5Z>QqqKy|6ZZS^r{DPXt zOoTq>tSO1?!;C&XH)XC>Yd6mJ6!SV%vKDi!OQ^C5L+-b&ic4E0;^F$Af%OPA?yeiJ z6qU-@4h$+>yG=a0KUh-IQHJs(=}={xhM--8@Mx)%verDB=`n5(W5BkO-)vRP<(rn( zsBTXL)4l2U+Js(0FVK0ikI(bn2;dFCOjb?vFqVsG5~8Q9TZVPv>x0XFA0=dom0-vGv`~>$%xj|V{>5Q=iIyl0($6oT&xI_HuQY@cTn*OgSMlfhcgh4pJ8 zSiu8zz(P{c<{WLL5as1!KKGfLC3v9prU zM+>DbpA8>&^K9IW-91pz4i&a4n87#M6AwJ${vNV%ICc(1N#YfnfcYFq96lR!<50QG z8@}Ac#>ozwg%X!9?*==7$El20!4Dd`&IB}U2KPX=OJn;6k6yG*C5F4W3W*5e0W74F zaPV%EYmL9GTgIDQlA)svTCH(pyNjPtU;_vJ*~d|Ky9cQDpKixI1Gt96Z_;hjG1|OY a?BhwkqKU(2E1DUE?h6-hP{)_9uKfl`g^hCn literal 0 HcmV?d00001 diff --git a/end_game/end_game.srcs/constrs_1/new/my_constraint.xdc b/end_game/end_game.srcs/constrs_1/new/my_constraint.xdc new file mode 100644 index 0000000..3b3bac4 --- /dev/null +++ b/end_game/end_game.srcs/constrs_1/new/my_constraint.xdc @@ -0,0 +1,72 @@ +# Clock signal +set_property PACKAGE_PIN W5 [get_ports clk] + set_property IOSTANDARD LVCMOS33 [get_ports clk] + + +#seven-segment LED display +set_property PACKAGE_PIN W7 [get_ports {data[6]}] + set_property IOSTANDARD LVCMOS33 [get_ports {data[6]}] +set_property PACKAGE_PIN W6 [get_ports {data[5]}] + set_property IOSTANDARD LVCMOS33 [get_ports {data[5]}] +set_property PACKAGE_PIN U8 [get_ports {data[4]}] + set_property IOSTANDARD LVCMOS33 [get_ports {data[4]}] +set_property PACKAGE_PIN V8 [get_ports {data[3]}] + set_property IOSTANDARD LVCMOS33 [get_ports {data[3]}] +set_property PACKAGE_PIN U5 [get_ports {data[2]}] + set_property IOSTANDARD LVCMOS33 [get_ports {data[2]}] +set_property PACKAGE_PIN V5 [get_ports {data[1]}] + set_property IOSTANDARD LVCMOS33 [get_ports {data[1]}] +set_property PACKAGE_PIN U7 [get_ports {data[0]}] + set_property IOSTANDARD LVCMOS33 [get_ports {data[0]}] + +set_property PACKAGE_PIN U2 [get_ports {anode[0]}] + set_property IOSTANDARD LVCMOS33 [get_ports {anode[0]}] +set_property PACKAGE_PIN U4 [get_ports {anode[1]}] + set_property IOSTANDARD LVCMOS33 [get_ports {anode[1]}] +set_property PACKAGE_PIN V4 [get_ports {anode[2]}] + set_property IOSTANDARD LVCMOS33 [get_ports {anode[2]}] +set_property PACKAGE_PIN W4 [get_ports {anode[3]}] + set_property IOSTANDARD LVCMOS33 [get_ports {anode[3]}] + +set_property PACKAGE_PIN U16 [get_ports {datamem_address[0]}] + set_property IOSTANDARD LVCMOS33 [get_ports {datamem_address[0]}] + set_property PACKAGE_PIN E19 [get_ports {datamem_address[1]}] + set_property IOSTANDARD LVCMOS33 [get_ports {datamem_address[1]}] + set_property PACKAGE_PIN U19 [get_ports {datamem_address[2]}] + set_property IOSTANDARD LVCMOS33 [get_ports {datamem_address[2]}] + set_property PACKAGE_PIN V19 [get_ports {datamem_address[3]}] + set_property IOSTANDARD LVCMOS33 [get_ports {datamem_address[3]}] + set_property PACKAGE_PIN W18 [get_ports {datamem_address[4]}] + set_property IOSTANDARD LVCMOS33 [get_ports {datamem_address[4]}] + set_property PACKAGE_PIN U15 [get_ports {datamem_address[5]}] + set_property IOSTANDARD LVCMOS33 [get_ports {datamem_address[5]}] + set_property PACKAGE_PIN U14 [get_ports {datamem_address[6]}] + set_property IOSTANDARD LVCMOS33 [get_ports {datamem_address[6]}] + set_property PACKAGE_PIN V14 [get_ports {datamem_address[7]}] + set_property IOSTANDARD LVCMOS33 [get_ports {datamem_address[7]}] + set_property PACKAGE_PIN V13 [get_ports {idata[0]}] + set_property IOSTANDARD LVCMOS33 [get_ports {idata[0]}] + set_property PACKAGE_PIN V3 [get_ports {idata[1]}] + set_property IOSTANDARD LVCMOS33 [get_ports {idata[1]}] + set_property PACKAGE_PIN W3 [get_ports {idata[2]}] + set_property IOSTANDARD LVCMOS33 [get_ports {idata[2]}] + set_property PACKAGE_PIN U3 [get_ports {idata[3]}] + set_property IOSTANDARD LVCMOS33 [get_ports {idata[3]}] + set_property PACKAGE_PIN P3 [get_ports {idata[4]}] + set_property IOSTANDARD LVCMOS33 [get_ports {idata[4]}] + set_property PACKAGE_PIN N3 [get_ports {idata[5]}] + set_property IOSTANDARD LVCMOS33 [get_ports {idata[5]}] + set_property PACKAGE_PIN P1 [get_ports {idata[6]}] + set_property IOSTANDARD LVCMOS33 [get_ports {idata[6]}] + set_property PACKAGE_PIN L1 [get_ports {idata[7]}] + set_property IOSTANDARD LVCMOS33 [get_ports {idata[7]}] + + +set_property PACKAGE_PIN T18 [get_ports interrupt] + set_property IOSTANDARD LVCMOS33 [get_ports interrupt] +#set_property PACKAGE_PIN W19 [get_ports btnL] + #set_property IOSTANDARD LVCMOS33 [get_ports btnL] +#set_property PACKAGE_PIN T17 [get_ports btnR] + #set_property IOSTANDARD LVCMOS33 [get_ports btnR] +set_property PACKAGE_PIN V16 [get_ports reset] + set_property IOSTANDARD LVCMOS33 [get_ports reset] \ No newline at end of file diff --git a/end_game/end_game.srcs/sim_1/new/cpusim.v b/end_game/end_game.srcs/sim_1/new/cpusim.v new file mode 100644 index 0000000..a30c66e --- /dev/null +++ b/end_game/end_game.srcs/sim_1/new/cpusim.v @@ -0,0 +1,76 @@ +module programmem(input [7:0] pgmaddr, output [7:0] pgmdata); + reg [7:0] pmemory[255:0]; + assign pgmdata=pmemory[pgmaddr]; + + initial + begin + pmemory[0]=8'h80; + pmemory[1]=8'h04; + pmemory[2]=8'h81; + pmemory[3]=8'h02; + pmemory[4]=8'h41; +// pmemory[5]=8'h00; +// pmemory[6]=8'hb2; +// pmemory[7]=8'h93; +// pmemory[8]=8'ha1; +// pmemory[9]=8'h00; + end +endmodule + +// Simple user memory for simulation +module usermem(input clk, input [7:0] uaddr, input [7:0] udata_i,output [7:0] udata_o, input rw); + reg [7:0] umemory[255:0]; + assign udata_o=rw?8'bZ:umemory[uaddr]; + always @(negedge clk) begin + if (rw==1) umemory[uaddr] <= udata_i; + end + initial + begin + umemory[0]<=8'h00; + umemory[1]<=8'h00; + umemory[2]<=8'h00; + umemory[255]<=8'hde; + end +endmodule + +module cpu_tb; + reg clk, reset, interrupt; + wire [7:0] datamem_data, usermem_data_in, usermem_data_out, datamem_address, usermem_address, idata,v1,v2; + wire rw; + programmem pgm(datamem_address,idata); + usermem umem(clk, usermem_address,usermem_data_out, usermem_data_in,rw); +cpu dut0 ( + .clk(clk), + .reset(reset), + .interrupt(interrupt), + .datamem_data(idata), + .usermem_data_in(usermem_data_in), + .datamem_address(datamem_address), + .usermem_address(usermem_address), + .usermem_data_out(usermem_data_out), + .rw(rw),.v1(v1),.v2(v2) + ); initial + begin + //$display("NopCPU testbench. All waveforms will be dumped to the dump.vcd file."); + //$dumpfile("waves.vcd"); + //$dumpvars(0, dut0); + //$monitor("Clock: %b Reset: %b \nAddress (Datamem): %h Address: (Usermem): %h)\n Data (Datamem): %h Data (Usermem): %h R/W: %b\n Time: %d\n",clk,reset,datamem_address,usermem_address,datamem_data,usermem_data_out,rw,$time); + clk = 1'b0; + reset = 1'b1; + interrupt = 1'b0; + @(posedge clk); + @(posedge clk); + reset = 1'b0; + end + always begin + forever begin + #1 clk = !clk; + end + end + /* Comment this out to test interrupts: */ + /*always + begin + #25 interrupt = ~interrupt; + #2 interrupt = ~interrupt; + end*/ +endmodule //cpu_tb \ No newline at end of file diff --git a/end_game/end_game.srcs/sim_1/new/main_tb.v b/end_game/end_game.srcs/sim_1/new/main_tb.v new file mode 100644 index 0000000..ba7ff13 --- /dev/null +++ b/end_game/end_game.srcs/sim_1/new/main_tb.v @@ -0,0 +1,51 @@ +`timescale 1ns / 1ps +////////////////////////////////////////////////////////////////////////////////// +// Company: +// Engineer: +// +// Create Date: 19.11.2019 22:13:10 +// Design Name: +// Module Name: main_simu +// Project Name: +// Target Devices: +// Tool Versions: +// Description: +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +////////////////////////////////////////////////////////////////////////////////// + + +module main_simu;//(input clk,input reset,input interrupt, output [7:0] idata ); +reg clk; +reg reset; +reg interrupt; +wire [7:0] idata; +wire [7:0] odata; +wire [3:0]anode; +wire [6:0]data; +wire [7:0] value1; +wire [7:0] value2; +main main0(clk,reset,interrupt,idata,odata,anode,data,value1,value2); + initial + begin + + //$monitor("Clock: %b Reset: %b \nAddress (Datamem): %h Address: (Usermem): %h)\n Data (Datamem): %h Data (Usermem): %h R/W: %b\n Time: %d\n",clk,reset,datamem_address,usermem_address,datamem_data,usermem_data,rw,$time); + clk = 1'b0; + reset = 1'b1; + interrupt = 1'b0; + repeat(4) #10 clk = !clk; + reset = 1'b0; + #1; + reset = 1'b1; + #1; + reset = 0'b0; + #1; + end + always + #1 clk = !clk; +endmodule diff --git a/end_game/end_game.srcs/sources_1/new/alu.v b/end_game/end_game.srcs/sources_1/new/alu.v new file mode 100644 index 0000000..b8c739e --- /dev/null +++ b/end_game/end_game.srcs/sources_1/new/alu.v @@ -0,0 +1,26 @@ +module alu (input [7:0] b,a, + input [3:0] opcode, + output reg [7:0] y); + reg [7:0] o, an, n, x, add, sub, rsn; + /* Decode the instruction */ + always @* begin + o <= a | b; + an <= a & b; + n <= ~a; + x <= a ^ b; + add <= a + b; + sub <= a - b; + rsn <= a >> b; + case (opcode) + 4'h0 /* OR */: y <= o; + 4'h1 /* AND */: y <= an; + 4'h2 /* NOT */: y <= n; + 4'h3 /* XOR */: y <= x; + 4'h4 /* ADD */: y <= add; + 4'h5 /* SUB */: y <= sub; + 4'h6 /* TX */: y <= b; + 4'h7 /* RSHIFTN */: y <= rsn; + default: y <= 8'bZ; + endcase + end +endmodule //alu \ No newline at end of file diff --git a/end_game/end_game.srcs/sources_1/new/clock_div.v b/end_game/end_game.srcs/sources_1/new/clock_div.v new file mode 100644 index 0000000..5540396 --- /dev/null +++ b/end_game/end_game.srcs/sources_1/new/clock_div.v @@ -0,0 +1,17 @@ +module clkDiv(input clk_in,input reset, output reg clk_out,output reg [7:0] value1, output reg [7:0] value2); + +reg [32:0] count; +//reg clk_out; +always @(posedge clk_in) begin + if(reset==1)begin + count <= 0; + end else begin + count <= count + 1; + if(count == 300000000) + begin + count<=0; + clk_out <= !clk_out; + end + end +end +endmodule \ No newline at end of file diff --git a/end_game/end_game.srcs/sources_1/new/control.v b/end_game/end_game.srcs/sources_1/new/control.v new file mode 100644 index 0000000..097143b --- /dev/null +++ b/end_game/end_game.srcs/sources_1/new/control.v @@ -0,0 +1,213 @@ +module control (input clk, reset, interrupt, + input [7:0] datamem_data, datamem_address, regfile_out1, + input [7:0] regfile_out2, alu_out, usermem_data_in, + output reg [3:0] alu_opcode, + output reg [7:0] regfile_data,usermem_data_out, + output reg [1:0] regfile_read1, regfile_read2, regfile_writereg, + output reg [7:0] usermem_address, pc_jmpaddr, + output reg rw, regfile_regwrite, pc_jump, pc_freeze); + /* Parameters */ + parameter state0 = 3'h0; + parameter state1 = 3'h1; + parameter state2 = 3'h2; + parameter state3 = 3'h3; + parameter state4 = 3'h4; + parameter state5 = 3'h5; + parameter state6 = 3'h6; + /* Flags */ + reg [2:0] stage; + reg [7:0] instruction_c; + reg [7:0] instruction; + reg [7:0] sp; + reg is_onecyc, is_alu; + reg eq; + /* Combinational logic goes here */ + always @(*) begin + instruction_c <= datamem_data; + is_alu <= (instruction_c[7:4] <= 4'h7); + is_onecyc <= (instruction_c[7:4] <= 4'hd); + alu_opcode <= instruction_c[7:4]; + regfile_read1 <= (stage == state0) ? instruction_c[3:2] : instruction[3:2]; + regfile_read2 <= (stage == state0) ? instruction_c[1:0] : instruction[1:0]; + regfile_writereg <= instruction[1:0]; + eq <= (regfile_out1 == regfile_out2); + pc_freeze <= (stage >= state4) ? 1 : 0; + end + always @(posedge clk) begin + regfile_regwrite = 0; + if(interrupt == 1) + begin + pc_jump <= 1; + pc_jmpaddr <= 8'hfd; + stage <= state2; + end + /* Check for reset*/ + else if(reset == 1) + begin + sp <= 0; + {instruction, regfile_data, usermem_data_out, usermem_address} <= 8'b0; + {rw, regfile_regwrite} <= 1'b0; + pc_jump <= 1; + pc_jmpaddr <= 8'b0; + stage <= state2; + end + /* Stage 1: Fetch instruction, execute it in case it does not require an operand: */ + else if (stage == state0) + begin + rw <= 0; + instruction <= datamem_data; + if (is_alu) + begin + rw <= 0; + regfile_regwrite <= 1; + regfile_data <= alu_out; + stage <= state0; + end + else if (is_alu == 0) begin + case (instruction_c[7:4]) + 4'h9 /* JMP/NOP */: + begin + pc_jmpaddr <= regfile_out2; + regfile_regwrite <= 0; + pc_jump <= 1; + stage <= state2; + end + 4'ha /* CALL */: + begin + rw <= 1; + sp <= sp + 1; + usermem_address <= sp; + usermem_data_out <= datamem_address; + pc_jmpaddr <= regfile_out2; + regfile_regwrite <= 0; + pc_jump <= 1; + stage <= state2; + end + 4'hb /* RTS */: + begin + if(instruction_c[3:0] == 4'h0) begin + pc_jump <= 1; + sp <= sp - 1; + usermem_address <= sp; + regfile_regwrite <= 0; + stage <= state4; + end + else if(instruction_c[3:0] == 4'h1) begin + /* STSP (b)*/ + regfile_regwrite <= 1; + regfile_data <= sp; + stage <= state0; + end + else if(instruction_c[3:0] == 4'h2) begin + /* POP (c) */ + sp <= sp - 1; + usermem_address <= sp; + regfile_regwrite <= 1; + regfile_regwrite <= 0; + stage <= state6; + end + else if(instruction_c[3:0] == 4'h4) begin + /* LDSP (b) */ + regfile_regwrite <= 0; + sp <= regfile_out1; + stage <= state0; + end + else if(instruction_c[3:0] == 4'h8) begin + /* PUSH (c) */ + rw <= 1; + sp <= sp + 1; + usermem_address <= sp + 1; + usermem_data_out <= regfile_out1; + stage <= state0; + end + end + 4'hc /* IEQ */: + begin + regfile_regwrite <= 0; + if(eq) + begin + stage <= state3; + end + else + stage <= state0; + end + 4'hd /* INE */: + begin + regfile_regwrite <= 0; + if(eq == 0) + begin + stage <= state3; + end + else + stage <= state0; + end + default: stage <= state1; + endcase + end + end + /* Stage 2: Fetch the operand and execute the relevant instruction: */ + else if (stage == state1) + begin + case (instruction[7:4]) + 4'h8 /* LD */: + begin + rw <= 0; + regfile_regwrite <= 1; + regfile_data <= datamem_data; + stage <= state0; + end + 4'he /* ST */: + begin + rw <= 1; + regfile_regwrite <= 0; + usermem_address <= datamem_data; + usermem_data_out <= regfile_out1; + stage <= state0; + end + 4'hf /* LDUMEM */: + begin + rw <= 0; + usermem_address <= datamem_data; + regfile_regwrite <= 1; + stage <= state5; + end + endcase + end + else if(stage == state2) + begin + rw <= 0; + instruction <= datamem_data; + pc_jump <= 0; + stage <= state0; + end + else if(stage == state3) + begin + /* Skip an instruction */ + if(is_onecyc) + stage <= state0; + else + stage <= state2; + end + else if(stage == state4) + begin + /* Execute RTS */ + rw <= 0; + pc_jmpaddr <= usermem_data_in; + stage <= state2; + end + else if(stage == state5) + begin + /* LDUMEM */ + instruction <= datamem_data; + regfile_data <= usermem_data_in; + stage <= state0; + end + else if(stage == state6) + begin + /* POP (c) */ + instruction <= datamem_data; + regfile_data <= usermem_data_in; + stage <= state0; + end + end +endmodule //control \ No newline at end of file diff --git a/end_game/end_game.srcs/sources_1/new/cpu.v b/end_game/end_game.srcs/sources_1/new/cpu.v new file mode 100644 index 0000000..f4762e2 --- /dev/null +++ b/end_game/end_game.srcs/sources_1/new/cpu.v @@ -0,0 +1,21 @@ +module cpu(input /*clr,*/clk, reset, interrupt, + input [7:0] datamem_data, usermem_data_in, + output [7:0] datamem_address, usermem_address, usermem_data_out, + output rw,[7:0]v1,[7:0]v2); + wire [1:0] regfile_read1, regfile_read2, regfile_writereg; + wire [7:0] pc_jumpaddr, regfile_data, regfile_out1, regfile_out2; + wire [7:0] alu_out; + wire [3:0] alu_opcode; + + pc pc0(clk, reset, pc_jump, pc_freeze, pc_jumpaddr, datamem_address); + regfile reg0(regfile_read1, regfile_read2, regfile_writereg, + regfile_data,/*clr,*/ clk, regfile_regwrite, + regfile_out1, regfile_out2,v1,v2); + alu alu0(regfile_out1, regfile_out2, alu_opcode, alu_out); + control cntrl0(clk, reset, interrupt, datamem_data, datamem_address, regfile_out1, regfile_out2, + alu_out, usermem_data_in, alu_opcode, + regfile_data, usermem_data_out, regfile_read1, regfile_read2, + regfile_writereg, usermem_address, + pc_jumpaddr, rw, regfile_regwrite, + pc_jump, pc_freeze); +endmodule //cpu \ No newline at end of file diff --git a/end_game/end_game.srcs/sources_1/new/main.v b/end_game/end_game.srcs/sources_1/new/main.v new file mode 100644 index 0000000..8c69df1 --- /dev/null +++ b/end_game/end_game.srcs/sources_1/new/main.v @@ -0,0 +1,46 @@ +`timescale 1ns / 1ps +////////////////////////////////////////////////////////////////////////////////// +// Company: +// Engineer: +// +// Create Date: 19.11.2019 22:07:40 +// Design Name: +// Module Name: main +// Project Name: +// Target Devices: +// Tool Versions: +// Description: +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +////////////////////////////////////////////////////////////////////////////////// + + +module main(input clk,input reset,input interrupt, output [7:0] idata, output [7:0] datamem_address, [3:0]anode, [6:0]data/*output [7:0] value1, output [7:0] value2*/); + wire clk,clk_out, reset, interrupt; + wire [7:0] datamem_data, usermem_data_in,usermem_data_out, datamem_address, usermem_address, idata; + wire rw; + wire [7:0] value1; + wire [7:0]value2; +// reg clr; + sram sram0(reset, datamem_address,idata); + seven_seg seven_seg0(clk,value2,value1,anode,data); + cpu dut0(/*clr,*/clk_out, reset, interrupt, idata, usermem_data_in, + datamem_address, usermem_address,usermem_data_out, rw, value1,value2 + ); +// always@(posedge reset) begin +// if(reset == 1) begin +// clr = 1; +// idata = 0; +// end +// else begin +// clr = 0; +// end +// end + clkDiv clkDiv0(clk,reset,clk_out); + +endmodule diff --git a/end_game/end_game.srcs/sources_1/new/pc.v b/end_game/end_game.srcs/sources_1/new/pc.v new file mode 100644 index 0000000..23f03cc --- /dev/null +++ b/end_game/end_game.srcs/sources_1/new/pc.v @@ -0,0 +1,16 @@ +module pc(input clk, reset, jump, freeze, + input [7:0] jmpaddr, + output reg[7:0] data); + + always @(posedge clk) begin + if (reset == 1) + data <= 8'b0; + else if (reset == 0) + begin + if (jump == 1) + data <= jmpaddr; + else + data <= freeze? data : data + 1; + end + end +endmodule //pc \ No newline at end of file diff --git a/end_game/end_game.srcs/sources_1/new/reg.v b/end_game/end_game.srcs/sources_1/new/reg.v new file mode 100644 index 0000000..d77ffdc --- /dev/null +++ b/end_game/end_game.srcs/sources_1/new/reg.v @@ -0,0 +1,30 @@ + +module regfile (input [1:0] readreg1, readreg2, writereg, + input [7:0] data,/*clr,*/ + input clk, regwrite, + output [7:0] read1, read2,v1,v2); + reg [7:0] registerfile [3:0]; + initial begin + registerfile[2'd0] <= 8'b0; + registerfile[2'd1] <= 8'b0; + registerfile[2'd2] <= 8'b0; + registerfile[2'd3] <= 8'b0; + end + always @(negedge clk) begin + if(regwrite == 1) + registerfile[writereg] <= data; +// else if(clr == 1) +// begin +// registerfile[2'd0] <= 8'b0; +// registerfile[2'd1] <= 8'b0; +// registerfile[2'd2] <= 8'b0; +// registerfile[2'd3] <= 8'b0; +// end + + end + + assign read1 = (regwrite && readreg1 == writereg)? data: registerfile[readreg1]; + assign read2 = (regwrite && readreg2 == writereg)? data: registerfile[readreg2]; + assign v1 = registerfile[0]; + assign v2 = registerfile[1]; +endmodule //regfile \ No newline at end of file diff --git a/end_game/end_game.srcs/sources_1/new/seven_seg.v b/end_game/end_game.srcs/sources_1/new/seven_seg.v new file mode 100644 index 0000000..b722a5a --- /dev/null +++ b/end_game/end_game.srcs/sources_1/new/seven_seg.v @@ -0,0 +1,243 @@ +//module mode10( +//input clk, +//input reset, +//input [15:0]x, +//output [6:0]data, +//output [3:0] cathod +//); +//reg [3:0]counter; +//reg [1:0] count; +//always @(negedge clk or negedge reset) +// begin +// if (reset ==0) +// begin +// count = 3; +// end + +// else begin +// counter = x[(count*3)+3:count*4]; +// count=count+1; +// end + +//always @ (counter) begin +// case (counter) +// 4'b0000 : //Hexadecimal 0 +// data = 7'b1111110; +// 4'b0001 : //Hexadecimal 1 +// data = 7'b0110000 ; +// 4'b0010 : // Hexadecimal 2 +// data = 7'b1101101 ; +// 4'b0011 : // Hexadecimal 3 +// data = 7'b1111001 ; +// 4'b0100 : // Hexadecimal 4 +// data = 7'b0110011 ; +// 4'b0101 : // Hexadecimal 5 +// data = 7'b1011011 ; +// 4'b0110 : // Hexadecimal 6 +// data = 7'b1011111 ; +// 4'b0111 : // Hexadecimal 7 +// data = 7'b1110000; +// 4'b1000 : //Hexadecimal 8 +// data = 7'b1111111; +// 4'b1001 : //Hexadecimal 9 +// data = 7'b1111011 ; +// 4'b1010 : // Hexadecimal A +// data = 7'b1110111 ; +// 4'b1011 : // Hexadecimal B +// data = 7'b0011111; +// 4'b1100 : // Hexadecimal C +// data = 7'b1001110 ; +// 4'b1101 : // Hexadecimal D +// data = 7'b0111101 ; +// 4'b1110 : // Hexadecimal E +// data = 7'b1001111 ; +// 4'b1111 : // Hexadecimal F +// data = 7'b1000111 ; +// endcase +//end + +//always @(count) begin +// case (count) +// 4'b00: cathod=4'b0000; +// 4'b00: cathod=4'b0000; + +//endmodule + + +//module hex_to_7segment( +// in ,select, data +// ); + +//input [3:0] in; // Input from DIP Switches +//output select; +//output [6:0] data; + +//parameter A = 7'b0000001; +//parameter B = 7'b0000010; +//parameter C = 7'b0000100; +//parameter D = 7'b0001000; +//parameter E = 7'b0010000; +//parameter F = 7'b0100000; +//parameter G = 7'b1000000; + + +//assign select = 1'b1; // Which of the the 3 seven segments we wish to display + +//assign data = +// (in == 4'h0) ? A|B|C|D|E|F : // Display 0 +// (in == 4'h1) ? B|C : // Display 1 +// (in == 4'h2) ? A|B|G|E|D : // Display 2 +// (in == 4'h3) ? A|B|C|D|G : // Display 3 +// (in == 4'h4) ? F|B|G|C : // Display 4 +// (in == 4'h5) ? A|F|G|C|D : // Display 5 +// (in == 4'h6) ? A|F|G|C|D|E : // Display 6 +// (in == 4'h7) ? A|B|C : // Display 7 +// (in == 4'h8) ? A|B|C|D|E|F|G : // Display 8 +// (in == 4'h9) ? A|B|C|D|F|G : // Display 9 +// (in == 4'ha) ? A|F|B|G|E|C : // Display A +// (in == 4'hb) ? F|G|C|D|E : // Display B +// (in == 4'hc) ? G|E|D : // Display C +// (in == 4'hd) ? B|C|G|E|D : // Display D +// (in == 4'he) ? A|F|G|E|D : // Display E +// (in == 4'hf) ? A|F|G|E : // Display F +// 4'bz; + +//endmodule + + +// fpga4student.com: FPGA projects, Verilog projects, VHDL projects +// FPGA tutorial: seven-segment LED display controller on Basys 3 FPGA +module seven_seg( + input clock_100Mhz, // 100 Mhz clock source on Basys 3 FPGA + input [7:0]b, + input [7:0]a, + output reg [3:0] Anode_Activate, // anode signals of the 7-segment LED display + output reg [6:0] LED_out// cathode patterns of the 7-segment LED display + ); +// + reg [3:0] LED_BCD; + reg [1:0]count = 0; + reg [10:0] mycounter = 0; + always@(posedge clock_100Mhz) begin + if(mycounter==0) begin + if(count==0) begin + LED_BCD = a[7:4]; + Anode_Activate = 4'b0111; + end + else if(count==1) begin + LED_BCD = a[3:0]; + Anode_Activate = 4'b1011; + end + else if(count==2) begin + LED_BCD = b[7:4]; + Anode_Activate = 4'b1101; + end + else begin + LED_BCD = b[3:0]; + Anode_Activate = 4'b1110; + end + + count = count + 1; + end + mycounter = mycounter + 1; + end + + // Cathode patterns of the 7-segment LED display + always @(*) + begin + case(LED_BCD) + 4'b0000: LED_out = 7'b0000001; // "0" + 4'b0001: LED_out = 7'b1001111; // "1" + 4'b0010: LED_out = 7'b0010010; // "2" + 4'b0011: LED_out = 7'b0000110; // "3" + 4'b0100: LED_out = 7'b1001100; // "4" + 4'b0101: LED_out = 7'b0100100; // "5" + 4'b0110: LED_out = 7'b0100000; // "6" + 4'b0111: LED_out = 7'b0001111; // "7" + 4'b1000: LED_out = 7'b0000000; // "8" + 4'b1001: LED_out = 7'b0000100; // "9" + 4'b1010: LED_out = 7'b0001000; // "A" + 4'b1011: LED_out = 7'b1100000; // "b" + 4'b1100: LED_out = 7'b0110001; // "C" + 4'b1101: LED_out = 7'b1000010; // "d" + 4'b1110: LED_out = 7'b0110000; // "E" + default: LED_out = 7'b0111000; // "F" + endcase + end + endmodule + + + + +// reg [26:0] one_second_counter; // counter for generating 1 second clock enable + // wire one_second_enable;// one second enable for counting numbers + // reg [15:0] displayed_number; // counting number to be displayed + // reg [19:0] refresh_counter; // 20-bit for creating 10.5ms refresh period or 380Hz refresh rate + // // the first 2 MSB bits for creating 4 LED-activating signals with 2.6ms digit period + // wire [1:0] LED_activating_counter; + // // count 0 -> 1 -> 2 -> 3 + // // activates LED1 LED2 LED3 LED4 + // // and repeat + + + + + +// always @(posedge clock_100Mhz or posedge reset) +// begin +// if(reset==1) +// one_second_counter <= 0; +// else begin +// if(one_second_counter>=99999999) +// one_second_counter <= 0; +// else +// one_second_counter <= one_second_counter + 1; +// end +// end +// assign one_second_enable = (one_second_counter==99999999)?1:0; +// always @(posedge clock_100Mhz or posedge reset) +// begin +// if(reset==1) +// displayed_number <= 0; +// else if(one_second_enable==1) +// displayed_number <= displayed_number + 1; +// end +// always @(posedge clock_100Mhz or posedge reset) +// begin +// if(reset==1) +// refresh_counter <= 0; +// else +// refresh_counter <= refresh_counter + 1; +// end +// assign LED_activating_counter = refresh_counter[19:18]; +// // anode activating signals for 4 LEDs, digit period of 2.6ms +// // decoder to generate anode signals +// always @(*) +// begin +// case(LED_activating_counter) +// 2'b00: begin +// Anode_Activate = 4'b0111; +// // activate LED1 and Deactivate LED2, LED3, LED4 +// LED_BCD = displayed_number/1000; +// // the first digit of the 16-bit number +// end +// 2'b01: begin +// Anode_Activate = 4'b1011; +// // activate LED2 and Deactivate LED1, LED3, LED4 +// LED_BCD = (displayed_number % 1000)/100; +// // the second digit of the 16-bit number +// end +// 2'b10: begin +// Anode_Activate = 4'b1101; +// // activate LED3 and Deactivate LED2, LED1, LED4 +// LED_BCD = ((displayed_number % 1000)%100)/10; +// // the third digit of the 16-bit number +// end +// 2'b11: begin +// Anode_Activate = 4'b1110; +// // activate LED4 and Deactivate LED2, LED3, LED1 +// LED_BCD = ((displayed_number % 1000)%100)%10; +// // the fourth digit of the 16-bit number +// end +// endcase +// end \ No newline at end of file diff --git a/end_game/end_game.srcs/sources_1/new/sram.v b/end_game/end_game.srcs/sources_1/new/sram.v new file mode 100644 index 0000000..5b23f41 --- /dev/null +++ b/end_game/end_game.srcs/sources_1/new/sram.v @@ -0,0 +1,56 @@ +`timescale 1ns / 1ps +////////////////////////////////////////////////////////////////////////////////// +// Company: +// Engineer: +// +// Create Date: 19.11.2019 20:12:38 +// Design Name: +// Module Name: sram +// Project Name: +// Target Devices: +// Tool Versions: +// Description: +// +// Dependencies: +// +// Revision: +// Revision 0.01 - File Created +// Additional Comments: +// +////////////////////////////////////////////////////////////////////////////////// + + +module sram( + input wire reset, + input wire [7:0] mem_address, + output [7:0] o_data + ); + + reg [7:0] memory_array [0:255]; + always @(posedge reset) + begin + memory_array[2] = 8'h80; + memory_array[3] = 8'h01; + memory_array[4] = 8'h81; + memory_array[5] = 8'h01; + memory_array[6] = 8'h82; + memory_array[7] = 8'h08; + memory_array[8] = 8'h41; + memory_array[9] = 8'h44; + memory_array[10] = 8'h92; +// memory_array[11] = 8'h41; +// memory_array[12] = 8'h61; +// memory_array[13] = 8'h71; +// memory_array[14] = 8'h90; +// memory_array[15] = 8'h; +// memory_array[99] = 8'hc1; +// memory_array[100] = 8'hc7; +// memory_array[101] = 8'h41; +// memory_array[102] = 8'h51; +// memory_array[103] = 8'h61; + + end + + assign o_data = memory_array[mem_address]; + +endmodule \ No newline at end of file diff --git a/end_game/end_game.xpr b/end_game/end_game.xpr new file mode 100644 index 0000000..e671c30 --- /dev/null +++ b/end_game/end_game.xpr @@ -0,0 +1,210 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +